ImageVerifierCode 换一换
格式:DOCX , 页数:10 ,大小:143.61KB ,
资源ID:10118182      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bingdoc.com/d-10118182.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(十字路口的红绿黄三色信号交通灯控制电路设计书.docx)为本站会员(b****0)主动上传,冰点文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰点文库(发送邮件至service@bingdoc.com或直接QQ联系客服),我们立即给予删除!

十字路口的红绿黄三色信号交通灯控制电路设计书.docx

1、十字路口的红绿黄三色信号交通灯控制电路设计书十字路口的红绿黄三色信号交通灯控制电路设计书1任务设计书1.1设计任务目的及要求1. 1. 1.设计目的设计一个十字路口的红、绿、黄三色信号交通灯控制电路。1. 1. 2设计要求(1) 用红、绿、黄三色发光二极管作信号灯。主干道为东西向,有红、绿、黄三个灯;支干道为南北向,也有红、绿、黄三个灯。红灯亮禁止通行;绿灯亮允许通行;黄灯亮则给行驶中的车辆有时间停靠到禁行线之外。(2) 由于主干道车辆较多而支干道车辆较少,所以主干道绿灯时间较长。当主干道允许通行亮绿灯时,支干道亮红灯。而支干道允许通行亮绿灯时,主干道亮红灯,两者交替重复。主干道每次放行60秒

2、,支干道每次放行0秒。在每次由亮绿灯变成亮红灯的转换过程中间,需要亮5秒的黄灯作为过渡,以使行驶中的车辆有时间停靠到禁行线以外。 (3) 能实现总体清零功能。按下清零键后,系统实现总清零,计数器由初始状态开始计数,对应状态的指示灯亮。1.2 设计方案及工作原理1.2.1设计方案:方案:用5G555定时器来构成秒信号产生器,J-K触发器74LS112作状态控制器,74168用于提供置数60秒、40秒、5秒,而74245芯片的选通信号由状态控制器的不同控制信号来进行控制。主干道绿灯持续1分钟,支干道绿灯持续40秒,黄灯持续5秒。定时译码显示系统必须有一个能自动按不同定时时间来定时的定时器,以便完成

3、这3种不同的持续定时时间。为此,用两片74LS168级联构成2位2位十进制可预置减法计数器,时间状态由两片74LS48和两只74LS48和两只LED数码管对减法计数器进行译码显示。预置到减法计数器的定时器的常数通过3片8路双向三态门74LS245来完成。 1.2.2秒脉冲产生电路: 产生秒脉冲的电路有多种形式。图中是用5G555定时器构成的占空比Q=2/3的多谐振荡器。根据占空比表达式可知: Q=(R1+R2)/(R1+2R2)=2/3得到R1=R2,又由振荡周期表达式可知: T=(R1+2R2)Cln2=1取C=10uF,可得: 3R1Cln2=1 因此 R1=R2=48k所以选用两只47k

4、与一只1k 电位器串联就得到如图(1-1)所示电路: 图1-11.2.3主控制器模块主控制原理如图(1-2)所示 图(1-2)r(1) 工作状态模块根据设计要求,可以得到十字路口交通灯顺序工作的进程图,依次为S0、S1、S2、S3,可用JK触发器74LS112改成四进制计数器作为主控制器。如图(1-3)所示:主控制电路(控制交通灯各种工作状态)图(1-3)(2) 计数模块计数器选用集成电路74LS168进行设计。168为预置的十进制同步加减计数器,当置入控制端(PE)为低电平时,在CP上升作用下,输出端(Q0Q3)与数据输入端(P0P3)相一致。用两片74LS168构成2位十进制可预置减法计数

5、器。如图(1-4)所示: 图(1-4)(3) 交通灯显示电路由上面交通灯控制器的真值表和所用的74LS112触发器功能列出交通灯工作的逻辑表达式如下: 状态变量 主干道交通灯支干道交通灯 Q2 Q1R(红)Y(黄)G(绿) r(绿)y(黄)g(绿) 0 0 0 0 1 1 0 0 0 1 0 1 0 1 0 0 1 0 1 0 0 0 0 1 1 1 1 0 0 0 1 0 交通灯显示电路(红、黄、绿灯显示)(4) 数码管显示电路数字显示译码器有七段显示译码器,74LS48可以将输入代码转换成相应数字的控制信号,使之在数码管上显示出来。如图(1-5)所示:数码管显示电路(显示交通灯工作时间)

6、图(1-5)1.2.4 电路设计总体原理(图1-5): 图(1-5)2 系统的仿真与调试一个电路系统的设计能否实现需要进行实践,而实践需要大量的时间和资金,因此,对一个设计好的系统进行仿真与调试在系统设计中起着关键作用。在本次课程设计中采用对系统的单个电路部分和整体部分两部分进行仿真和调试。2.1主控电路部分仿真与调试对于主控电路,要求输入端的变化脉冲端每来一个脉冲,计数器加1,在输出端选中下一个状态的信号灯使其处于明亮状态,直到下一个脉冲到来使之熄灭,进入下一个状态。如此循环,从而实现交通信号灯系统四种状态的循环变换。仿真时对电路输入单次脉冲,观察每次脉冲输入时,信号灯状态的变化。若系统工作

7、不稳定或缺少状态,则对主控电路进行调试直至正常工作。2. 2整体电路仿真与调试对于单个电路仿真调试成功之后,进行连接,组合成完整的交通信号灯系统,然后对整个电路系统仿真调试。 一开始,主干道的数码显示50秒开始倒数,显示是绿灯亮;支干道从55秒倒数,显示的是红灯亮;主干道倒数到0时,主干道和支干道同时倒数5秒,主干道显示黄灯,支干道红灯;倒数完5秒后,主干道显示红灯从35秒开始倒数,支干道绿灯从30秒开始倒数;支干道倒数完后与主干道同时倒数5秒;然后重复开始的状态,循环重复。通过仿真和调试之后,整个系统能够完成要求的功能,实现交通信号灯的自动控制。那么这个交通信号灯电路设计完成。由于在制作时一

8、些芯片的阈值不同,也导致系统不能正常运行,因此,需要对选用芯片慎重考虑。同时,对部分电路需要电压变化需要加电阻。或者电路有时不稳定,可能是干扰问题,需要加电容来稳定电路,这在整个调试过程中需要不断的尝试,这样才能设计成功一个电路。结 论通过这次实训,加强了我的动手、思考和解决问题的能力。在整个设计过程中,通过这个方案包括方案的选择、电路原理图的设计和芯片上的选择。在设计过程中,经常会遇到这样那样的情况,就是心里想老着这样的接法可以行得通,但实际接上电路,总是实现不了,因此耗费在这上面的时间用去很多。我在做课程设计同时也是对课本知识的巩固和加强,由于课本上的知识太多,平时课间的学习并不能很好的理

9、解和运用各个元件的功能,而且考试内容有限,所以在这次课程设计过程中,我们了解了很多元件的功能,并且对于其在电路中的使用有了更多的认识。平时看课本时,有时问题老是弄不懂,做完课程设计,那些问题就迎刃而解了。而且还可以记住很多东西。比如一些芯片的功能,平时看课本,这次看了,下次就忘了,通过动手实践让我们对各个元件映象深刻。同时,这次课程设计使我懂得了理论与实际相结合是很重要的,只有理论知识是远远不够的,只有把所学的理论知识与实践相结合起来,从理论中得出结论,才能真正为社会服务,从而提高自己的实际动手能力和独立思考的能力。在设计的过程中遇到问题,可以说得是困难重重,这毕竟第一次做的,难免会遇到过各种

10、各样的问题,同时在设计的过程中发现了自己的不足之处,对以前所学过的知识理解得不够深刻,掌握得不够牢固。而且在这次课程设计中,我熟练了Protel的使用和操作,学会了如何利用网络资源,学会了怎么看电路图,读电路图,这些都使我受益匪浅,并为我以后的学习和工作积累了丰富的经验。谢 辞这次实训使我受益匪浅,学到到了很东西,把所学到的理论知识很好的实践了,在这过程当中也遇到了很多的困难,特别是刚开始动手感到很难,但是在老师的细心指导和同学的帮助下慢慢的解决了很多难题,这使我很有信心,对实训有了新的认识。我很感谢指导老师的引导和同学们的帮助,使我懂得了团队精神的重要性,我的动手能力也有了很大的进步。参考文献:1 数字逻辑(第三版) 欧阳星明 著 华中科技大学出版社2许小军.电子技术实验与课程设计指导(数字电路分册).1版.南京:东南大学出版社,2005.3 数字电路与逻辑设计实验教程,史晓东 苏福根 等编著 北京邮电大学出版社4胡锦.数字电路与逻辑设计.北京:高等教育出版社,2004.

copyright@ 2008-2023 冰点文库 网站版权所有

经营许可证编号:鄂ICP备19020893号-2