ImageVerifierCode 换一换
格式:DOCX , 页数:14 ,大小:87.63KB ,
资源ID:11009576      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bingdoc.com/d-11009576.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(毕业设计97交通灯控制器的设计.docx)为本站会员(b****1)主动上传,冰点文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰点文库(发送邮件至service@bingdoc.com或直接QQ联系客服),我们立即给予删除!

毕业设计97交通灯控制器的设计.docx

1、毕业设计97交通灯控制器的设计 上饶职业技术学院电子工程系课程设计课程名称:综合技能训练模块 题目名称:交通灯控制器的设计 年 级 : 06级 _ 学生专业:应用电子技术 学生学号:ZD06010031/27 指导教师:吴国辉 学生姓名:周凯 柳杨 技术职称:高级工程师 2007年8月25日制课程设计任务书1 课程名称 综合技能训练模块2 课程性质 必修3 适用年级 06级4 适用专业 应用电子技术5 设计题目 交通灯控制器的设计6 实验要求 选开7 选题要求 最多组数2组 每组最多人数2人8 指导老师 吴国辉 职称 高级工程师9 设计目的 10 设计要求 10.1,设计一个十字路口的红,绿,

2、黄三色信号交通灯控制电路。10.2,红灯与绿灯转换时必须经过黄灯,黄灯亮3S。 10.3,红灯,绿灯的开启时间可以设置,10-60s。 10.4,一方向绿亮时,另一方的红灯3S后亮。 10.5,特殊情况下,四个方向的灯用2人控制均为红灯并闪烁。 10.6,采用AC-220V-50Hz电源.(选做实验)。11 课程设计的进度安排 12 参考书目 黄永定 电子线路实验与课程设计 北京 机械工业出版社 2005肖景和 数字集成电路原理与应用 北京 人民邮电出版社 2007肖景和 COMS数字电路应用300例 北京 中国电力出版社 200613 任务书下达时间 年月日课程设计评分标准(必开实验)姓名:

3、周凯 柳杨 年级:06 级 专业:应用电子技术 班级1班 总分:考核项目平 时 成 绩实 验 成 绩设 计 报 告总成绩考核内容态度、纪律(10%)分析解决问题的能力(50%)设计报告书写和水平(40%)考核环节团结协作有钻研精神爱护公物文明卫生遵守纪律和制度独立、熟练、综合应用所学知识分析解决问题的能力,有实验数据,又有理论分析。摘要符合要求、语句通顺、内容充实、图表和曲线清晰符合规范、文字规范。方案选择、论证、设计、计算正确。分值3345040100评分评分教师: 评分时间: 年 月 日课程设计评分标准(选开实验)姓名: 年级: 级 专业: 班级: 班 总分:考核项目平 时 成 绩设 计

4、报 告总成绩考核内容态度、纪律(20%)设计报告书写和水平(80%)考核环节团结协作有钻研精神爱护公物文明卫生遵守纪律和制度摘要符合要求、语句通顺、内容充实、图表和曲线清晰符合规范、文字规范。方案选择、论证、设计、计算正确。分值66880100评分评分教师: 评分时间: 年 月 日课程设计报告年级06级 专业 应用电子技术 班级1班 姓名 周凯同组人姓名 柳杨指导老师 吴国辉 职称 高级工程师课程名称 综合技能训练模块课程性质 必修设计项目 交通灯控制器的设计实验要求 选开1 设计目的 1.1 1.2 2 设计要求 2.1,设计一个十字路口的红,绿,黄三色信号交通灯控制电路。2.2,红灯与绿灯

5、转换时必须经过黄灯,黄灯亮3S。 2.3,红灯,绿灯的开启时间可以设置,10-60s。 2.4,一方向绿亮时,另一方的红灯3S后亮。 2.5,特殊情况下,四个方向的灯用2人控制均为红灯并闪烁。 2.6,采用AC-220V-50Hz电源.(选做实验)。3 课程设计的进度安排 3.1 3.2 4 设计原理及结果摘要:EDA(Electronic Design Automation)即电子设计自动化,它是近几年来迅速发展起来的将计算机软件、硬件、微电子技术交叉运用的现代电子学科,是20世纪90年代初从CAD(计算机辅助设计)、CAM(计算机辅助制造)、CAT(计算机辅助测试)和CAE(计算机辅助工程

6、)的概念发展而来的。EDA技术是现代电子设计技术的核心。EDA技术就是依靠功能强大的电子计算机,在EDA工具软件平台上,对以硬件描述语言HDL(Hardware Description Language)为系统逻辑描述手段完成的设计文件,自动地完成逻辑编译、化简、分割、综合、优化和仿真,直至下载到可编程逻辑器件CPLD/FPGA或专用集成电路ASIC(Application Specific Integrated Circuit)芯片中,实现既定的电子电路设计功能。EDA技术使得电子电路设计者的工作仅限于利用硬件描述语言和EDA软件平台来完成对系统硬件功能的实现,极大地提高了设计效率,缩短了设

7、计周期,节省了设计成本。本次课程设计就是运用EDA技术,使用Verilog HDL语言编写音乐发生器的程序,借助quartus软件对程序进行仿真,最终达到设计要求。一、 设计原理1、设计目的:学习DEA开发软件和Quartus的使用方法,熟悉可编程逻辑器件的使用。通过制作来了解交通灯控制系统,交通灯控制系统主要是实现城市十字交叉路口红绿灯的控制。在现代化的大城市中, 十字交叉路口越来越多,在每个交叉路口都需要使用红绿灯进行交通指挥和管理,红、黄、绿灯的转换要有一个准确的时间间隔和转换顺序,这就需要有一个安全、自动的系统对红、黄、绿灯的转换进行管理, 本系统就是基于此目的而开发的。2、系统设计城

8、市十字交叉路口红绿灯控制系统主要负责控制东西走向和南北走向的红绿灯的状态和转换顺序,关键是各个状态之间的转换和进行适当的时间延时,正是基于以上考虑,采用如下设计:(1) 当东西走向的绿灯亮时, 南北走向的红灯亮,并保持3个clock。(2) 当东西走向的绿、黄灯亮时, 南北走向的红灯亮,并保持1个clock。(3) 当东西走向的红灯亮时, 南北走向的绿灯亮,并保持3个clock。(4) 当东西走向的红灯亮时, 南北走向的绿、黄灯亮,并保持1个clock。(5) 最后又回到(1) 状态, 并周期重复进行。基于以上设计,在表1中给出了交通灯控制器的4种状态,对应的状态迁移过程见表(1)和图(1)。

9、东西走向南北走向状态0红、黄、绿001红、黄、绿100状态1红、黄、绿011红、黄、绿100状态2红、黄、绿100红、黄、绿001状态3红、黄、绿100红、黄、绿011 表(1)交通灯控制器的4种状态 图(1)3、电路组成交通灯的电路由下载电路及外围电路组成,所用到的器件有EMP7064SLC44芯片、钟振、发光二极管、电阻和导线。EDA流程图如下所示:图(2)交通灯EDA流程图二、 制作过程1、 编程a)、程序的编辑:首先在Quartus中建立一个工程项目文件beef.qpf,并在该项目下新建Verilog HDL源程序文件beef.v输入程序代码并保存。完整的Verilog HDL程序参考

10、如下:module jiaotong(sclk,rst,red1,green1,yellow1,red2,green2,yellow2);input sclk,rst;output red1,green1,yellow1,red2,green2,yellow2;wire line;fenpin (.tclk(slck),.clk1hz(line); df(.clk(line),.reset(rst),.red1(red1),.green1(green1),.yellow1(yellow1),.red2(red2),.green2(green2),.yellow2(yellow2);endmod

11、ule分频模块module fenpin(tclk,clk1hz);input tclk;output clk1hz;reg23:0 data;always (posedge tclk)begindata=24d0;if(data=24b101101110001101100000000)data=24d0;elsedata=data+1;endassign clk1hz=(data=24b101101110001101100000000)?1b1:1b0;endmodule/LED输出显示module df(clk,reset,red1,green1,yellow1,red2,green2,y

12、ellow2);input clk,reset;output red1,green1,yellow1,red2,green2,yellow2;parameter std0=0,std1=1,std2=2,std3=3,std4=4,std5=5,std6=6,std7=7;/声明状态变量reg2:0state,nxstate;/声明状态寄存器reg red1,green1,yellow1,red2,green2,yellow2;always(posedge clk or posedge reset)/时钟信号上跳沿或复位信号上跳沿触发工作beginif(reset)/复位信号reset高电平有

13、效state=std0;elsestate=nxstate;/基于当前状态和输入,以及进入下一状态和输出endalways(state)beginred1=1b0;green1=1b0;yellow1=1b0;red2=1b0;green2=1b0;yellow2=1b0;/定义输出变量初始值case(state)std0:begingreen1=1b1;red2=1b1;nxstate=std1;end std1:begingreen1=1b1;red2=1b1;nxstate=std2;endstd2:begingreen1=1b1;red2=1b1;nxstate=std3;end/*当

14、东西走向的绿灯亮时,南北走向的红灯亮,并且保持三个clk*/ std3:begin green1=1b1; yellow1=1b1; red2=1b1; nxstate=std4; end /*当东西走向的绿黄灯亮时,南北走向的红灯亮,并且保持一个clk*/ std4:begin red1=1b1; green2=1b1; nxstate=std5; end std5:begin red1=1b1; green2=1b1; nxstate=std6; end std6:begin red1=1b1; green2=1b1; nxstate=std7; end/*当东西走向的红灯亮时,南北走向的

15、绿灯亮,并且保持三个clk*/ std7:begin red1=1b1; green2=1b1; yellow2=1b1; nxstate=std0;/又重新回到初始状态 end/*当东西走向的红灯亮时,南北走向的绿黄灯亮,并且保持一个clk*/endcaseendendmoduleb)、管脚设置:接下来就要选择目标器件并对相应的引脚进行锁定了,我们选择的器件为Altera公司的MAX7000S系列的EPM7064SLC44-10芯片,引脚锁定方法如下图所示。将未使用的管脚设置为三态输入(一定要设置,否则可能会损坏芯片)。 图(3) 管脚设置C)、仿真:对该工程文件进行全程编译处理,若在编译过

16、程中发现错误,则应该立即找出并更正错误,直到编译成功为止。Quartus仿真过程主要步骤如下: 先建立一个VWF波形文件,添加输入控制: clk、reset、red1、red2、green1、green2、yellow1、yellow2: 图(4) 波形图 然后单击start simulation开始仿真 图(5)仿真输出图2、 电路板制作:程序调试完毕之后,我们就要开始电路板的制作了。首先用Protel 99SE按照设计要求画出电路原理图。 图(6) 交通灯原理图3、 调试与检验:电路板制作完成后,剩下的就是调试电路了。拿出Altera ByteBlaster下载电缆,并将此电缆的两端分别接

17、到PC机的打印并口和电路板下载端口上,打开电源,执行下载命令,把程序下载到FPGA器件中,就可以观察到发光二极管会按照程序闪亮。4.设计制作过程分析刚开始我不会使用Quartus软件,在老师的指导及自己查阅了一些关于这个软件的使用方法后,掌握了这个软件的基本使用方法,并顺利的对程序进行编辑及仿真。焊接电路板的时候,因为电烙铁和自己的焊接水平的问题,使得焊的电路有虚焊或短路的可能,在调试检测时发现下载电路不能下载程序,经过修改焊点、整理导线等各种努力之后总算实现了交通灯的基本功能。5. 本次课程设计的心得体会通过这次的课程设计,我又学会了一种软件的使用,既使用Quartus软件进行程序的编辑和仿真。为实现预期功能,能够对系统进行快速的调试,并能够对出现的功能故障进行分析,及时修改相关软硬件。对软件编程、排错调试、焊接技术、相关仪器设备的使用技能等方面得到较全面的锻炼和提高。了解了关于EDA技术及Verilog HDL语言的基本编程形式,为毕业后找工作又添加了一项有利条件。在此之后,我会继续学习关于Verilog HDL语言的运用。致谢词6 参考书目 6.1黄永定 电子线路实验与课程设计 北京 机械工业出版社 20056.2肖景和 数字集成电路原理与应用 北京 人民邮电出版社 20076.3肖景和 COMS数字电路应用300例 北京 中国电力出版社 20067 完成时间 年月日

copyright@ 2008-2023 冰点文库 网站版权所有

经营许可证编号:鄂ICP备19020893号-2