ImageVerifierCode 换一换
格式:DOCX , 页数:19 ,大小:18.52KB ,
资源ID:11491918      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bingdoc.com/d-11491918.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(数字逻辑课后答案第五章.docx)为本站会员(b****3)主动上传,冰点文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰点文库(发送邮件至service@bingdoc.com或直接QQ联系客服),我们立即给予删除!

数字逻辑课后答案第五章.docx

1、数字逻辑课后答案 第五章第五章 习题答案1. 画出与阵列编程点解:2. 画出或阵列编程点 解: 3. 与、或阵列均可编程,画出编程点。解;4. 4变量LUT编程解:5. 用VHDL写出4输入与门解: 源代码: LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY and4 IS PORT (a,b,c,d:IN STD_LOGIC; x:OUT STD_LOGIC); END and4; ARCHITECTURE and4_arc OF and4 IS BEGIN xa AND b AND c AND d; END and4_arc; 6. 用VH

2、DL写出4输入或门解: 源代码: LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY or4 IS PORT (a,b,c,d:IN STD_LOGIC; x:OUT STD_LOGIC); END or4; ARCHITECTURE or4_arc OF or4 IS BEGIN xa OR b OR c OR d; END or4_arc;7. 用VHDL写出SOP表达式解: 源代码: LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY sop IS PORT (a,b,c,d,e,f:IN S

3、TD_LOGIC; x:OUT STD_LOGIC); END sop; ARCHITECTURE sop_arc OF sop IS BEGIN x(a AND b) OR (c AND d) OR (e AND f); END sop_arc;8. 用VHDL写出布尔表达式解: 源代码: LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY boolean IS PORT (a,b,c:IN STD_LOGIC; f:OUT STD_LOGIC); END boolean ; ARCHITECTURE boolean_arc OF boolea

4、n IS BEGIN f(a OR (NOT b) OR c) AND (a OR b OR (NOT c) AND (NOT a) OR (NOT b) OR (NOT c); END boolean_arc;9. 用VHDL结构法写出SOP表达式解: 源代码: 三输入与非门的逻辑描述 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY nand3 IS PORT (a,b,c:IN STD_LOGIC; x:OUT STD_LOGIC); END nand3; ARCHITECTURE nand3_arc OF nand3 IS BEGIN

5、xNOT (a AND b AND c); END nand3_arc;顶层结构描述文件 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY sop IS PORT (in1,in2,in3,in4,in5,in6,in7,in8,in9:IN STD_LOGIC; out4:OUT STD_LOGIC); END sop; ARCHITECTURE sop_arc OF sop IS COMPONENT nand3 PORT (a,b,c:IN STD_LOGIC; x:OUT STD_LOGIC); END COMPONENT; SIGNAL

6、 out1,out2,out3:STD_LOGIC; BEGIN u1:nand3 PORT MAP (in1,in2,in3,out1); u2:nand3 PORT MAP (in4,in5,in6,out2); u3:nand3 PORT MAP (in7,in8,in9,out3); u4:nand3 PORT MAP (out1,out2,out3,out4); END sop;10. 用VHDL数据流法写出SOP表达式解: 源代码: LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY sop IS PORT (in1,in2,in3,

7、in4,in5,in6,in7,in8,in9:IN STD_LOGIC; out4:OUT STD_LOGIC); END sop; ARCHITECTURE sop_arc OF sop IS BEGIN out4(in1 AND in2 AND in3) OR (in4 AND in5 AND in6 ) OR (in7 AND in8 AND in9); END sop_arc;13. 用VHDL设计38译码器 解: 源代码: LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY decoder_3_to_8 IS PORT (a,b,c,

8、g1,g2a,g2b:IN STD_LOGIC; y:OUT STD_LOGIC _VECTOR(7 downto 0); END decoder_3_to_8; ARCHITECTURE rt1 OF decoder_3_to_8 IS SIGNAL indata:STD_LOGIC _VECTOR(2 downto 0); BEGIN indatac & b & a; PROCESS(indata,g1,g2a,g2b) BEGIN IF(g11 AND g2a0 AND g2b0)THEN CASE indata IS WHEN 000y; WHEN 001y; WHEN 010y; W

9、HEN 011y; WHEN 100y; WHEN 101y; WHEN 110y; WHEN othersy01111111; END CASE; ELSE y; END IF; END PROCESS; END rt1;14. 用VHDL设计七段显示译码器 解: 源代码: LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY segment7 IS PORT (xin:IN STD_LOGIC _VECTOR(3 downto 0); lt,rbi:IN STD_LOGIC; yout:OUT STD_LOGIC _VECTOR(6 downt

10、o 0);birbo:INOUT STD_LOGIC); END segment7; ARCHITECTURE seg7448 OF segment7 IS SIGNAL sig_xin: STD_LOGIC _VECTOR(3 downto 0); BEGIN sig_xinxin; PROCESS(sig_xin,lt,rbi,birbo) BEGIN IF(birbo0)THEN yout0000000; ELSIF (lt0)THEN yout1111111; birbo1; ELSIF (rbi0 AND sig_xin0000)THEN yout0000000; birbo0; E

11、LSIF (rbi1 AND sig_xin0000)THEN yout1111110; birbo1; ELSE birbo1; CASE sig_xin IS WHEN 0001yout0110000; WHEN 0010yout1101101; WHEN 0011yout1111001; WHEN 0100yout0110011; WHEN 0101yout1011011; WHEN 0110yout0011111; WHEN 0111yout1110000; WHEN 1000yout1111111; WHEN 1001yout1110011; WHEN othersyout01000

12、11; END CASE; END IF; END PROCESS; END seg7448;15. 用VHDL设计8/3优先编码器 解: 源代码: LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY priorityencoder IS PORT (din:IN STD_LOGIC _VECTOR(7 downto 0); ei:IN STD_LOGIC; yout:OUT STD_LOGIC _VECTOR(2 downto 0);eo,gs:OUT STD_LOGIC); END priorityencoder; ARCHITECTURE

13、cod74148 OF priorityencoder IS BEGIN PROCESS(ei,din) BEGIN IF(ei1)THEN yout111; eo1; gs1; ELSE IF (din(7)0 ) THEN yout000; eo1; gs0;ELSIF (din(6)0 ) THEN yout 001; eo1; gs0;ELSIF (din(5)0 ) THEN yout010; eo1; gs0;ELSIF (din(4)0 ) THEN yout011; eo1; gs0;ELSIF (din(3)0 ) THEN yout100; eo1; gs0;ELSIF (

14、din(2)0 ) THEN yout101; eo1; gs0;ELSIF (din(1)0 ) THEN yout110; eo1; gs0;ELSIF (din(0)0 ) THEN yout111; eo1; gs0;ELSIF (din) THEN yout111; eo0; gs1; END IF; END IF; END PROCESS; END cod74148;16. 用VHDL设计BCD码至二进制码转换器。解: 源代码:library ieee;use ieee.std_logic_1164.all;entity bcdtobi isport( bcdcode : IN S

15、TD_LOGIC_VECTOR(7 DOWNTO 0); start: in std_logic; qbit : OUT STD_LOGIC_VECTOR(3 DOWNTO 0) );end;architecture behavioral of bcdtobi isbeginprocess(start) begin if start=0 then case bcdcode(7 downto 0) is when 00000000=qbit(3 downto 0)qbit(3 downto 0)qbit(3 downto 0)qbit(3 downto 0)qbit(3 downto 0)qbi

16、t(3 downto 0)qbit(3 downto 0)qbit(3 downto 0)qbit(3 downto 0)qbit(3 downto 0)qbit(3 downto 0)qbit(3 downto 0)qbit(3 downto 0)qbit(3 downto 0)qbit(3 downto 0)qbit(3 downto 0)qbit(3 downto 0)=0000; end case; else qbit(3 downto 0)=0000; end if; end process;end behavioral;17. 用VHDL设计4位寄存器解: 异步复位源代码: LIB

17、RARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY register_4 IS PORT (clk,r:IN STD_LOGIC;din:IN STD_LOGIC _VECTOR(3 downto 0); qout:OUT STD_LOGIC _VECTOR(3 downto 0); END register_4; ARCHITECTURE rge_arc OF register_4 IS SIGNAL q_temp:STD_LOGIC _VECTOR(3 downto 0); BEGIN PROCESS(clk,r) BEGIN IF(r1)THEN

18、 q_temp0000;ELSIF (clkevent AND clk1 ) THEN q_tempdin; END IF; qoutq_temp; END PROCESS; END rge_arc;18. 用VHDL设计4位双向移位寄存器解: s1、s0控制工作方式,dsl为左移数据输入,dsr为右移数据输入。源代码:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY shiftreg IS PORT (clk,r,dsr,dsl:IN STD_LOGIC;s1,s0:IN STD_LOGIC;-function selectdin:IN STD_

19、LOGIC _VECTOR(3 downto 0);-data in qout:OUT STD_LOGIC _VECTOR(3 downto 0);-data outEND shiftreg;ARCHITECTURE ls74194 OF shiftreg ISSIGNAL iq: STD_LOGIC _VECTOR(3 downto 0);SIGNAL s:STD_LOGIC _VECTOR(1 downto 0);BEGIN ss1 & s0; PROCESS(clk,r) BEGIN IF(r0)THEN iq0000;ELSIF (clkevent AND clk1 ) THENCAS

20、E s IS WHEN 00null; WHEN 01iqdsr & din(3 downto 1);-right WHEN 10iqdin(2 downto 0)& dsl;-left WHEN 11iqdin;-load WHEN othersnull; END CASE; END IF; qoutiq; END PROCESS;END ls74194;19. 用VHDL设计8421码十进制加法计数器解: 异步清零,同步置数源代码:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_ARITH.ALL;USE IEEE.S

21、TD_LOGIC_UNSIGNED.ALL;ENTITY count10 IS PORT (clk,clr,load:IN STD_LOGIC; din:IN STD_LOGIC _VECTOR(3 downto 0); co:OUT STD_LOGIC; qout:OUT STD_LOGIC _VECTOR(3 downto 0);END count10;ARCHITECTURE count10_arch OF count10 ISSIGNAL iq: STD_LOGIC _VECTOR(3 downto 0);BEGINPROCESS(clr,clk,load)BEGIN IF(clr0)

22、THEN iq0000;ELSIF (clkevent AND clk1 ) THENIF(load0)THEN iqdin;ELSIF(iq9)THEN iq0000; ELSE iqiq+1; END IF; END IF; qoutiq; END PROCESS; co1 WHEN iq1001 ELSE 0;END count10_arch;20用VHDL设计可逆格雷码计数器解: 源代码:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY gray_count IS PORT (clk,y:IN STD_LOGIC; qout:OUT STD

23、_LOGIC _VECTOR(2 downto 0);END gray_count;ARCHITECTURE arch_gray OF gray_count ISSIGNAL iq: STD_LOGIC _VECTOR(2 downto 0);BEGIN PROCESS(clk) BEGIN IF (clkevent AND clk1 ) THENIF(y1)THEN CASE iq IS WHEN 000iq001; WHEN 001iq011; WHEN 011iq010; WHEN 010iq110; WHEN 110iq111; WHEN 111iq101; WHEN 101iq100

24、; WHEN othersiq000; END CASE; END IF;IF(y0)THEN CASE iq IS WHEN 000iq100; WHEN 100iq101; WHEN 101iq111; WHEN 111iq110; WHEN 110iq010; WHEN 010iq011; WHEN 011iq001; WHEN othersiq000; END CASE; END IF; END IF;qoutiq; END PROCESS;END arch_gray;21. 用VHDL设计有限状态机解: 源代码:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164

25、.ALL;ENTITY asm IS PORT (clk,k,reset:IN STD_LOGIC; qout:OUT STD_LOGIC _VECTOR(1 downto 0);END asm;ARCHITECTURE asm_arch OF asm ISTYPE asm_st IS (s0,s1,s2,s3);SIGNAL current_state,next_state:asm_st;BEGIN reg: PROCESS(clk,reset) BEGIN IF (reset1 ) THEN current_states0;ELSIF (clkevent AND clk1 ) THEN c

26、urrent_statenext_state; END IF; END PROCESS; com: PROCESS(current_state,k) BEGIN CASE current_state IS WHEN s0qout00; IF (k0 ) THEN next_states1; ELSE next_states0; END IF; WHEN s1qout01; IF (k0 ) THEN next_states1; ELSE next_states2;END IF; WHEN s2qout10; IF (k0 ) THEN next_states3; ELSE next_states2;END IF; WHEN s3qout11; IF (k

copyright@ 2008-2023 冰点文库 网站版权所有

经营许可证编号:鄂ICP备19020893号-2