ImageVerifierCode 换一换
格式:DOCX , 页数:13 ,大小:23.59KB ,
资源ID:12367065      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bingdoc.com/d-12367065.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(CPUMPUMCU三者 以及ARM DSP FPGA三者的区别.docx)为本站会员(b****8)主动上传,冰点文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰点文库(发送邮件至service@bingdoc.com或直接QQ联系客服),我们立即给予删除!

CPUMPUMCU三者 以及ARM DSP FPGA三者的区别.docx

1、CPUMPUMCU三者 以及ARM DSP FPGA三者的区别CPU ? MPU ? MCU1 CPU(Central Processing Unit,中央处理器)中央处理器(CPU)是电子计算机的主要器件之一,其功能主要是解释计算机指令及处理计算机软件中的数据。 CPU的组成CPU由运算器、控制器和寄存器及实现它们之间联系的数据、控制及状态的总线构成。运算器:进行算术运算和逻辑运算(部件:算数逻辑单元、累加器、寄存器组、路径转换器、数据总线)。控制器:控制程序的执行,包括对指令进行译码、寄存,并按指令要求完成所规定的操作,即指令控制、时序控制和操作控制。复位、使能(部件:计数器、指令暂存器、

2、指令解码器、状态暂存器、时序产生器、微操作信号发生器)。寄存器:用来存放操作数、中间数据及结果数据。 CPU的工作原理CPU从存储器或高速缓冲存储器中取出指令,放入指令寄存器,并对指令译码,将指令分解成一系列的微操作,然后发出各种控制命令,执行微操作,从而完成一条指令的执行。可分为四个阶段:提取(Fetch)、解码(Decode)、执行(Execute)和写回(Writeback)。 注:指令是计算机规定执行操作的类型和操作数的基本命令。指令是由一个字节或者多个字节组成,其中包括操作码字段、一个或多个有关操作数地址的字段以及一些表征机器状态的状态字以及特征码。有的指令中也直接包含操作数本身。第

3、一阶段:提取从存储器或高速缓冲存储器中检索指令(为数值或一系列数值)。由程序计数器(Program Counter)指定存储器的位置,程序计数器保存供识别目前程序位置的数值。换言之,程序计数器记录了CPU在目前程序里的踪迹。提取指令之后,程序计数器根据指令长度增加存储器单元。指令的提取必须常常从相对较慢的存储器寻找,因此导致CPU等候指令的送入。这个问题主要被论及在现代处理器的快取和管线化架构。第二阶段:解码CPU根据存储器提取到的指令来决定其执行行为。在解码阶段,指令被拆解为有意义的片断。根据CPU的指令集架构(Instruction Set Architecture, ISA)定义将数值解

4、译为指令。一部分指令数值为运算码(Opcode),其指示要进行哪些运算。其它的数值通常供给指令必要的信息,诸如一个加法(Addition)运算的运算目标。这样的运算目标也许提供一个常数值(即立即值),或是一个空间的定址值:暂存器或存储器位址,以定址模式决定。在旧的设计中,CPU里的指令解码部分是无法改变的硬件设备。不过在众多抽象且复杂的CPU和指令集架构中,一个微程序时常用来帮助转换指令为各种形态的讯号。这些微程序在已成品的CPU中往往可以重写,方便变更解码指令。第三阶段:执行在提取和解码阶段之后,接着进入执行阶段。该阶段中,连接到各种能够进行所需运算的CPU部件。例如,要求一个加法运算,算数

5、逻辑单元(ALU,Arithmetic Logic Unit)将会连接到一组输入和一组输出。输入提供了要相加的数值,而输出将含有总和的结果。ALU内含电路系统,易于输出端完成简单的普通运算和逻辑运算(比如加法和位元运算)。如果加法运算产生一个对该CPU的处理而言过大的结果,在标志暂存器里,运算溢出(Arithmetic Overflow)标志可能会被设置。第四阶段:写回以一定格式将执行阶段的结果简单的写回。运算结果经常被写进CPU内部的暂存器,以供随后指令快速存取。在其它案例中,运算结果可能写进速度较慢,但容量较大且较便宜的主记忆体中。某些类型的指令会操作程序计数器,而不直接产生结果。这些一般

6、称作“跳转”(Jumps),并在程式中带来循环行为、条件性执行(透过条件跳转)和函式。许多指令也会改变标志暂存器的状态位元。这些标志可用来影响程式行为,缘由于它们时常显出各种运算结果。例如,以一个“比较”指令判断两个值的大小,根据比较结果在标志暂存器上设置一个数值。这个标志可由随后的跳转指令来决定程式动向。在执行指令并写回结果之后,程序计数器的值会递增,反覆整个过程,下一个指令周期正常的提取下一个顺序指令。如果完成的是跳转指令,程序计数器将会修改成跳转到的指令位址,且程序继续正常执行。许多复杂的CPU可以一次提取多个指令、解码,并且同时执行。这个部分一般涉及“经典RISC管线”,那些实际上是在

7、众多使用简单CPU的电子装置中快速普及(常称为微控制器Microcontroller)。2 MPU(Microprocessor Unit,微处理器)就物理性来说,MPU就是一块集成了数量庞大的微型晶体管与其他电子组件的半导体集成电路(Integrated Circuit, IC)芯片。微处理器(MPU)通常代表一个功能强大的CPU,但不是为任何已有的特定计算目的而设计的芯片。MPU与传统的CPU相比,具有体积小、重量轻和容易模块化等优点。 MPU的组成MPU的组成部分有:算术逻辑单元(ALU,Arithmetic Logical Unit);累加器和通用寄存器组;程序计数器;运算器;时序和逻

8、辑控制电路;数据和地址总线。其中运算器和控制器是其主要组成部分。MPU能完成取指令、执行指令,以及与外界存储器和逻辑部件交换信息等操作,是微型计算机的运算控制部分。它可与存储器和外围电路芯片组成微型计算机。常见的MPU有Motorola的68K系列和Intel的X86系列。 MPU的分类根据处理对象的不同分类:用作处理通用数据时,叫作中央处理器(Central Processing Unit, CPU)这也是最为人所知的应用(如:Intel Pentium CPU);专用于作图像数据处理的,叫作图形处理器(Graphics Processing Unit, GPU)(如Nvidia GeFor

9、ce 6150 GPU);用于音频数据处理的,叫作音频处理器(Audio Processing Unit, APU)(如Creative emu10k1 APU)等等。 MPU的体系结构:冯诺伊曼结构和哈佛结构冯诺伊曼结构冯诺伊曼结构(或普林斯顿结构)是一种将程序指令存储器和数据存储器合并在一起的存储器结构。程序指令存储地址和数据存储地址指向同一个存储器的不同物理位置,因此程序指令和数据的宽度相同,如英特尔公司的8086中央处理器的程序指令和数据都是16位宽。目前使用冯诺伊曼结构的中央处理器和微控制器有很多。除了上面提到的英特尔公司的8086,英特尔公司的其他中央处理器、安谋公司的ARM7、M

10、IPS公司的MIPS处理器也采用了冯诺伊曼结构。哈佛结构哈佛结构是一种将程序指令存储器和数据存储器分开的存储器结构。中央处理器首先到程序指令存储器中读取程序指令内容,解码后得到数据地址,再到相应的数据存储器中读取数据,并进行下一步的操作(通常是执行)。程序指令存储和数据存储分开,可以使指令和数据有不同的数据宽度,如Microchip公司的PIC16芯片的程序指令是14位宽度,而数据是8位宽度。哈佛结构的微处理器通常具有较高的执行效率。其程序指令和数据指令分开组织和存储的,执行时可以预先读取下一条指令。目前使用哈佛结构的中央处理器和微控制器有很多,除了上面提到的Microchip公司的PIC系列

11、芯片,还有摩托罗拉公司的MC68系列、Zilog公司的Z8系列、ATMEL公司的AVR系列和Advanced RISC Machines(安谋)公司的ARM9、ARM10和ARM11,51单片机也属于哈佛结构。 MPU的典型代表:DSP(Digital Signal Processor,数字信号处理器)DSP(Digital signal processor)是一种特殊结构的CPU,有自己的完整指令系统;它专门用于处理数字信号的各种功能,如FFT、数字滤波算法、加密算法和复杂控制算法等。其工作原理是,接受模拟信号并转换成0和1的数字信号,再对数字信号进行修改、删除、强化,并在其他系统芯片中把数

12、字数据解译回模拟数据或实际环境格式。它不仅具有可编程性,而且其实时运行速度可达每秒数以千万条复杂指令程序,远远超过通用微处理器,它的强大数据处理能力和高运行速度,是最值得称道的两大特色。 DSP芯片,也称数字信号处理器,是一种特别适合于进行数字信号处理运算的微处理器,包括控制单元、运算单元、各种寄存器以及一定数量的存储单元等,在其外围还可以连接若干存储器,并可以与一定数量的外部设备互相通信,有软、硬件的全面功能,本身就是一个微型计算机。其主要应用是实时快速地实现各种数字信号处理算法。根据数字信号处理的要求,DSP芯片一般具有如下主要特点:(1)在一个指令周期内可完成一次乘法和一次加法; (2)

13、程序和数据空间分开(哈佛结构),可以同时访问指令和数据;(3)片内具有快速RAM,通常可通过独立的数据总线在两块中同时访问; (4)具有低开销或无开销循环及跳转的硬件支持; (5)快速的中断处理和硬件I/O支持; (6)具有在单周期内操作的多个硬件地址产生器; (7)可以并行执行多个操作; (8)支持流水线操作,使取指、译码和执行等操作可以重叠执行。目前有许多微处理器(MPU)逐渐演化为微控制器(MCU)比如arm,因此这些概念开始融合,所以处理器包括CPU,MCU,DSP。ARM目前是嵌入式处理器的代名词:由CPU,少量的RAM,FLASH,和其它接口封装而组成的。3 MCU(Microco

14、ntroller Unit,微控制器/单片机) MCU的概念MCU是将微型计算机的主要部分(包括CPU,存储器,定时/计数器(Timer/Counter),各种I/O接口)集成在一个芯片上的单芯片微型计算机,实现嵌入式应用,故也称单片机(Single Chip Microcomputer,单片微型计算机)。随后为了满足控制领域的嵌入式应用,单片机中不断扩展一些满足控制要求的电路单元,目前单片机已广泛称为微控制器(MCU)。也有由微处理器发展的微控制器,比如Intel的386EX就是很成功的80386微处理器的微控制器版本,它与嵌入式应用的微处理器一样,也称为嵌入式微处理器。嵌入式处理器的高端产

15、品有:Advanced RISC Machines公司的ARM、Silicon Graphics公司的MIPS、IBM和Motorola的Power PC 、Intel的X86和i960芯片、AMD的Am386EM、Hitachi的SH RISC芯片。 MCU的概述绝大多数现在的单片机都是基于冯诺伊曼结构的,这种结构清楚地定义了嵌入式系统所必需的四个基本部分:一个中央处理器核心,程序存储器(只读存储器或者闪存)、数据存储器(随机存储器)、一个或者更多的定时/计时器,还有用来与外围设备以及扩展资源进行通信的输入/输出端口,所有这些都被集成在单个集成电路芯片上。说单片机与通用型中央处理单元芯片不同

16、,是因为前者一般很容易配合最小型的外部支持芯片制成工作计算机,这样就可以很容易的把单片机系统植入装置内部来控制装置了。近年来为了在指令和数据上使用不同的字宽,并提高处理器流水线速度,哈佛结构在微控制器(Microcontroller)和数字信号处理器(Digital Signal Processor, DSP)也逐渐得到了广泛的应用。传统的微处理器是不允许这么做的。它要完成单片机的工作,就必须连接一些其他芯片。比如说,因为芯片上没有数据存储器,就必须要添加一些RAM的存储芯片,虽然所添加存储器的容量很灵活,但是至少还是要添加。另外还需要添加很多连线来传递芯片之间的数据。与以上的情况相比,单片机

17、的工作则相对独立,一个典型的微控制器只需要一个时钟发生器和很少的RAM和ROM(或者EPROM, E2PROM)就可以在软件和晶振下工作了。同时,微控制器具有丰富的输入输出设备,例如模拟数字转换器(Analog-to-Digital Converter, ADC),定时器,串口,以及其他串行通讯接口,比如I2C,SPI(串行周边接口),CAN(控制器局域网)等。通常,这些集成在内部的设备可以通过特殊的指令来操作。单片机时钟频率通常较同时代的计算机芯片低,但它价格低廉,能够提供充足的程序存储器、丰富的片上接口。某些架构的单片机生产厂商众多,例如8051系列、Z80系列。一些现代的微控制器支持一些

18、内建的高级编程语言,比如BASIC语言、C语言、C+等。 MCU的分类根据数据总线宽度可分为8位、16位和32位机;根据存储器结构可分为哈佛(Harvard)结构和冯诺伊曼(Von Neumann)结构;根据内嵌程序存储器的类别可分为OTP、掩膜、EPROM/EEPROM和Flash的MCU;根据指令结构又可分为CISC(Complex Instruction Set Computer,复杂指令集计算机)和RISC(Reduced Instruction Set Computer,精简指令集计算机)微控制器。 MCU的架构:CISC架构和RISC架构基于CISC架构的微控制器除了80C51外,

19、还包括Motorola提供的68HC系列微控制器,这也是大量应用的微控制器系列。基于RISC架构的微控制器则包括Microchip的PIC系列8位微控制器等。在16位RISC架构的微控制器中,Maxim公司推出的MAXQ系列微控制器以其高性能、低功耗和卓越的代码执行效率,成为许多需要高精度混合信号处理以及便携式系统和电池供电系统的理想选择。MAXQ2000微控制器是Maxim/Dallas公司推出的一款基于RISC架构的16位微控制器,其指令读取和执行操作在一个周期内完成,而没有流水线操作,这是因为指令既包含了操作码也包括了数据。字母Q表示这款微控制器的一个重要特点便是“安静”,MAXQ架构通

20、过智能化的时钟管理来降低噪声这意味着MAXQ只向那些需要使用时钟的电路提供时钟,这样既降低了功耗,又为模拟电路的整合提供了一个最安静的环境。它包含液晶显示(LCD)接口,最多可以驱动100或132段(两种版本)。 常见的MCU微芯公司的PIC系列出货量居于业界领导者地位;Atmel的51系列及AVR系列种类众多,受支持面广;德州仪器的MSP430系列以低功耗闻名,常用于医疗电子产品及仪器仪表中;瑞萨单片机在日本使用广泛。(1)ARM公司:ARM 系列MCU(2)Atmel公司:AVR系列MCUAtmel AT91 series (ARM 处理器等)AT90 series AVR (Atmel

21、的高性能RISC 8位单片机,老产品)ATmega series AVR (Atmel 的高性能RISC 8 位单片机,新产品)ATXmega series AVR (Atmel 的高性能RISC 32 位单片机,新产品)Atmel AT89 series (Intel 8051/MCS51 架构8位单片机)MARC4(3)Cypress MicroSystems(赛普拉斯微系统公司) CY8C2xxxx (PSoC)(4)Freescale Semiconductor(飞思卡尔半导体)8-bit:68HC05 (CPU05),68HC08 (CPU08),68HC11 (CPU11)。16-

22、bit:68HC12 (CPU12),68HC16 (CPU16),Freescale DSP56800 (DSPcontroller)。32-bit Freescale 683XX (CPU32)MPC500MPC 860 (PowerQUICC)MPC 8240/8250 (PowerQUICC II)MPC 8540/8555/8560 (PowerQUICC III)(5)Holtek(盛群半导体) HT48FXX Flash I/O typeHT48RXX I/O typeHT46RXX A/D typeHT49RXX LCD type(6)Intel(英特尔)MCU8-bit:8X

23、C42MCS48MCS51 : Intel 8051系列MCU历史悠久,相容产品众多,使用广泛。8xC25116-bit:MCS96系列MXS29632-bit:i960(7)Microchip(微芯公司)的PIC系列MCU 8-bit : PIC10,PIC12,PIC16,PIC18。16-bit : PIC24F,PIC24H,dsPIC30,dsPIC33。32bit : PIC32 (采用MIPS M4K 核心架构)(8)National Semiconductor(美国国家半导体) COP8CR16(9)NEC 78K(10)NXP Semiconductors(恩智浦半导体,由飞

24、利浦以 Philips Semiconductors 为班底新成立) LPC2000LPC900LPC700(11)Parallax, Inc. BASIC Stamp(12)Renesas 瑞萨科技系列单片机 Renesas 16-bits Renesas M16C SeriesRenesas M32C SeriesRenesas R8C SeriesRenesas M16C/Tiny SeriesRenesas R8C/Tiny SeriesRenesas H8/Tiny Series(13)ST Microelectronics(意法半导体)STM32 series (ARM Corte

25、x-M3 系列,32位)STM8 series (自主RISC指令集,8 位)(14)SyncMOS 新茂国际科技全系列单片机 SM59RXXA2 8-bits 1T(RISC)SM59DXXG2 8-bits 6T(ISP)SM59XX 8-bits 12T(ISP)SM89XX 8-bits 12T(Traditional 8051)SM79XX 8-bits 12T(Customization)(15)PADAUK应广科技全系列单片机(多核心单片机) P201CS/CD 8-bitsP211CS/CD 8-bitsp232CS/CD 8-bitsP234CS/CD 8-bits(16)Z

26、iLOG Z8Z86E02(17)STC 宏晶系列MCUSTC89C series 6T/12T增强性单片机 (Intel 8051架构,有外部总线)STC11F/11L series 2T 增强性单片机 (Intel 8051增强架构,无外部总线程序支持)STC12C/12LE series 2T 增强性单片机 (Intel 8051增强架构,无外部总线程序支持)STC15C/15LE series 2T 增强性单片机 (Intel 8051增强架构,无外部总线程序支持,正在开发中)(18)Kernel-IC 华芯 LS系列MCULSx051 series 12T 双核单片机(Intel 8

27、051架构,无外部总线)LSx151 series 12T 三核单片机(Intel 8051架构,无外部总线)LS052A series 6T 三核单片机(Intel 8051架构,无外部总线)其他系列的单片机Msp430系列单片机8098、80196系列单片机AT8P5X系列单片机CZG8000系列单片机 MCU的典型代表:ARMARM(Advanced RISC Machines)是微处理器行业的一家知名企业,设计了大量高性能、廉价、耗能低的RISC处理器、相关技术及软件。ARM架构是面向低预算市场设计的第一款RISC微处理器,基本是32位单片机的行业标准,它提供一系列内核、体系扩展、微处

28、理器和系统芯片方案,四个功能模块可供生产厂商根据不同用户的要求来配置生产。由于所有产品均采用一个通用的软件体系,所以相同的软件可在所有产品中运行。目前ARM在手持设备 市场占有90%以上的份额,可以有效地缩短应用程序开发与测试的时间,也降低了研发费用。4 CPLD(Complex Programmable Logic Device,复杂可编程逻辑器件)5 FPGA(Field Programmable Gate Array,现场可编程门阵列)FPGA是英文Field Programmable Gate Array(现场可编程门阵列)的缩写,它是在PAL、GAL、PLD等可编程器件的基 础上进一

29、步发展的产物,是专用集成电路(ASIC)中集成度最高的一种。FPGA采用了逻辑单元阵列LCA(Logic Cell Array)这样一个新概念,内部包括可配置逻辑模块CLB(Configurable Logic Block)、输出输入模块IOB(Input Output Block)和内部连线(Interconnect)三个部分。用户可对FPGA内部的逻辑模块和I/O模块重新配置,以实现用户的逻辑。它还具有静态可重复编程和动态在系统重构的特性,使得硬件的功能可以像软件一样通过编程来修改。作为专用集成电路(ASIC)领域中的一种半定制电路,FPGA既解决了定制电路的不足,又克服了原有可编程器件门

30、电路数有限的缺点。可以毫不夸张的讲,FPGA能完成任何数字器件的功能,上至高性能CPU,下至简单的74电路,都可以用FPGA来实现。FPGA如同一张白纸或是一堆积木,工程师可以通过传统的原理图输入法,或是硬件描述语言自由的设计一个数字系统。通过软件仿真,我们可以事先验证设计的正确性。在PCB完成以后,还可以利用FPGA的在线修改能力,随时修改设计而不必改动硬件电路。使用FPGA来开发数字电路,可以大大缩短设计时间,减少PCB面积,提高系统的可靠性。FPGA是由存放在片内RAM中的程序来设置其工作状态的,因此工作时需要对片内的RAM进行编程。用户可以根据不同的配置模式,采用不同的编程方式。加电时

31、,FPGA芯片将EPROM中数据读入片内编程RAM中,配置完成后,FPGA进入工作状态。掉电后,FPGA恢复成白片,内部逻辑关系消失,因此,FPGA能够反复使用。FPGA的编程无须专用的FPGA 编程器,只须用通用的EPROM、PROM编程器即可。当需要修改FPGA功能时,只需换一片EPROM即可。这样,同一片FPGA,不同的编程数据,可以产生不同的电路功能。因此,FPGA的使用非常灵活。可以说,FPGA芯片是小批量系统提高系统集成度、可靠性的最佳选择之一。目前FPGA的品种很多,有XILINX的XC系列、TI公司的TPC系列、ALTERA公司的FIEX系列等。6 DSP,ARM,FPGA的区别ARM具有比较强的事务管理功能,可以用来跑界面以及应用程序等,其优势主要体现在控制方面。DSP主要是用来计算的,比如进行加密解密、调制解调等,优势是强大的数据处理能力和较高的运行速度。FPGA可以用VHDL或Verilog HDL来编程,灵活性强,由于能够进行编程、除错、再编程和重复操作,因此可以充分地进行设计开发和验证。当电路有少量改动时,更能显示出FPGA的优势,其现场编程能力可以延长产品在市场上的寿命,而这种能力可以用来进行系统升级或除错。

copyright@ 2008-2023 冰点文库 网站版权所有

经营许可证编号:鄂ICP备19020893号-2