ImageVerifierCode 换一换
格式:DOCX , 页数:24 ,大小:311.64KB ,
资源ID:13016757      下载积分:5 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bingdoc.com/d-13016757.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(LCD1602液晶显示实验.docx)为本站会员(b****8)主动上传,冰点文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰点文库(发送邮件至service@bingdoc.com或直接QQ联系客服),我们立即给予删除!

LCD1602液晶显示实验.docx

1、LCD1602液晶显示实验实 验 报 告实验名称: LCD1602液晶显示实验姓 名: 学 号: 指导教师: 实验时间: 2013年6月15日 信息与通信工程学院LCD1602液晶显示实验1.实验原理1.1 基本原理1.1.1 1602字符型LCD简介 字符型液晶显示模块是一种专门用于显示字母、数字、符号等点阵式LCD,目前常用16*1,16*2,20*2和40*2行等的模块。1.1.2 1602LCD的基本参数及引脚功能 1602LCD分为带背光和不带背光两种,基控制器大部分为HD44780,带背光的比不带背光的厚,是否带背光在应用中并无差别,两者尺寸差别如下图1-2所示:图1-2 1602

2、LCD尺寸图1.1602LCD主要技术参数:显示容量: 162个字符芯片工作电压: 4.55.5V工作电流: 2.0mA(5.0V)模块最佳工作电压: 5.0V字符尺寸: 2.954.35(WH)mm2.引脚功能说明:1602LCD采用标准的14脚(无背光)或16脚(带背光)接口,各引脚接口说明如表: 表1-3引脚接口说明表编号符号引脚说明编号符号引脚说明1VSS电源地9D2数据2VDD电源正极10D3数据3VL液晶显示偏压11D4数据4RS数据/命令选择12D5数据5R/W读/写选择13D6数据6E使能信号14D7数据7D0数据15BLA背光源正极8D1数据16BLK背光源负极1.1.3 1

3、602LCD的指令说明及时序1602液晶模块内部的控制器共有11条控制指令,如表1-4所示:表1-4 控制命令表序号指令RSR/WD7D6D5D4D3D2D1D01清显示00000000012光标返回000000001*3置输入模式00000001I/DS4显示开/关控制0000001DCB5光标或字符移位000001S/CR/L*6置功能00001DLNF*7置字符发生存贮器地址0001字符发生存贮器地址8置数据存贮器地址001显示数据存贮器地址9读忙标志或地址01BF计数器地址10写数到CGRAM或DDRAM)10要写的数据内容11从CGRAM或DDRAM读数11读出的数据内容1602液晶

4、模块的读写操作、屏幕和光标的操作都是通过指令编程来实现的。(说明:1为高电平、0为低电平)读写操作时序如图1-5和1-6所示:图1-5 读操作时序图1-6 写操作时序1.1.4 1602LCD的RAM地址映射及标准字库表液晶显示模块是一个慢显示器件,所以,在执行每条指令之前,一定要确认模块的忙标志为低电平,表示不忙,否则此指令失效。要显示字符时,要先输入显示字符地址,也就是告诉模块在哪里显示字符,图1-7是1602的内部显示地址。图1-7 1602LCD内部显示地址例如,第二行第一个字符的地址是40H,那么是否直接写入40H就可以将光标定位在第二行第一个字符的位置呢?这样不行,因为写入显示地址

5、时要求最高位D7恒定为高电平1,所以实际写入的数据应该是01000000B(40H)+10000000B(80H)=11000000B(C0H)。在对液晶模块的初始化中,要先设置其显示模式,在液晶模块显示字符时光标是自动右移的,无需人工干预。每次输入指令前都要判断液晶模块是否处于忙的状态。1602液晶模块内部的字符发生存储器(CGROM)已经存储了160个不同的点阵字符图形,这些字符有:阿拉伯数字、英文字母的大小写、常用的符号、和日文假名等,每一个字符都有一个固定的代码,比如大写的英文字母“A”的代码是01000001B(41H),显示时模块把地址41H中的点阵字符图形显示出来,我们就能看到字

6、母“A”因为1602识别的是ASCII码,试验可以用ASCII码直接赋值,编程中还可以用字符型常量或变量赋值,如“A”。1602通过D0D7的8位数据端传输数据和指令。1.1.5 1602LCD的一般初始化(复位)过程延时15mS写指令38H(不检测忙信号)延时5mS写指令38H(不检测忙信号)延时5mS写指令38H(不检测忙信号)以后每次写指令、读/写数据操作均需要检测忙信号写指令38H:显示模式设置写指令08H:显示关闭写指令01H:显示清屏写指令06H:显示光标移动设置写指令0CH:显示开及光标设置1.2 任务1及其原理任务1:更改lcd上显示的字符。通过更改wire 127:0 row

7、1_val,wire 127:0 row2_val的赋值即可,注意保证“”内的字符数包括空格共16位。1.3 任务2及其原理任务2:将“LCD1602驱动模块”文件与“LCD1602驱动测试模块”文件合并成为一个verilog文件。首先,新建一个顶层VerilogHDL文件,将两个文件的输入,内容合并,调试新的模块,然后将管脚连接在一起,从而完成合并。1.4 任务3及其原理任务3:实现液晶屏的某一位完成09的循环变换,并且设置复位键,在循环过程中按下复位键循环可从0重新开始。完成本次的实验想法是将“LCD1602驱动测试模块”文件中wire 127:0 row1_val,wire 127:0

8、row2_val变量进行改动,将row1_val原本赋予的字符替换为一个变量,设定新的时钟,即循环变换的间隔时间,再通过条件语句控制循环。使能键的控制原理是通过对循环变换的条件进行操作实现的,即当按键使能时循环开始,反之,则从0开始。2.实验流程图09循环变换流程图3.实验程序3.1 任务1程序 程序更改部分:wire 127:0 row1_val = liu wupeng ; wire 127:0 row2_val = 2009081206 ;3.2 任务2程序 将测试模块中的驱动部分,两个文件的对应命名只留其一,合并两文件,即以下部分 / 例化LCD1602驱动 lcd1602_drive

9、 u0( .clk(CLOCK_50M), .rst_n(Q_KEY), .row1_val(row1_val), .row2_val(row2_val), .lcd_data(LCD1602_DATA), .lcd_e(LCD1602_E), .lcd_rs(LCD1602_RS), .lcd_rw(LCD1602_RW);完整程序请参见附件:13.3 任务3程序 改动模块:LCD1602驱动测试模块,加重处为修改、添加部分module lcd1602_test( input CLOCK_50M, / 板载时钟25MHz input Q_KEY, / 板载按键RST output 7:0 L

10、CD1602_DATA, / LCD1602数据总线 output LCD1602_E, / LCD1602使能 output LCD1602_RS, / LCD1602指令数据选择 output LCD1602_RW, / LCD1602读写选择 output SEL0, / LCD1602读写选择 output SEL1, / LCD1602读写选择 output SEL2 / LCD1602读写选择 input key, /使能控制键 );reg 127:0 row1_val; /将原本的wire型改为reg型,保证其可变wire 127:0 row2_val = ; /将第二行赋值都是

11、空格,实验时显示为空reg 7:0 a; /a变化控制reg 127:0 row1_valreg 3:0 i; reg 25:0 cnt; /分频用reg en; /使能控制assign SEL0 = 1b0; assign SEL1 = 1b0; assign SEL2 = 1b1; always (posedge CLOCK_50M,negedge Q_KEY) if (!Q_KEY) cnt = 0; else cnt = cnt + 1b1;wire lcd_clk = cnt25; /分频模块always (a) begin row1_val7:0=a; end /将a赋给 row1

12、_val7:0的低8位 always (negedge key) begin if (en=0) en=1; else en=0; end /使能控制模块,下降沿有效 always (posedge lcd_clk) if (en) if(i 0123456789ABCDEF -wire 127:0 row1_val = liu wupeng ;wire 127:0 row2_val = 2009081206 ;assign SEL0 = 1b0; assign SEL1 = 1b0; assign SEL2 = 1b1; / +/ 分频模块 开始/ +reg 15:0 cnt; / 计数子a

13、lways (posedge clk, negedge rst_n) if (!rst_n) cnt = 0; else cnt = cnt + 1b1;/ 500Khz 1MHz 皆可wire lcd_clk = cnt15; / (215 / 50M) = 1.31ms / -/ 分频模块 结束/ -/ +/ LCD1602驱动模块 开始/ +/ 格雷码编码:共40个状态parameter IDLE = 8h00;/ 写指令,初始化parameter DISP_SET = 8h01; / 显示模式设置parameter DISP_OFF = 8h03; / 显示关闭parameter CL

14、R_SCR = 8h02; / 显示清屏parameter CURSOR_SET1 = 8h06; / 显示光标移动设置parameter CURSOR_SET2 = 8h07; / 显示开及光标设置/ 显示第一行parameter ROW1_ADDR = 8h05; / 写第1行起始地址parameter ROW1_0 = 8h04;parameter ROW1_1 = 8h0C;parameter ROW1_2 = 8h0D;parameter ROW1_3 = 8h0F;parameter ROW1_4 = 8h0E;parameter ROW1_5 = 8h0A;parameter R

15、OW1_6 = 8h0B;parameter ROW1_7 = 8h09;parameter ROW1_8 = 8h08;parameter ROW1_9 = 8h18;parameter ROW1_A = 8h19;parameter ROW1_B = 8h1B;parameter ROW1_C = 8h1A;parameter ROW1_D = 8h1E;parameter ROW1_E = 8h1F;parameter ROW1_F = 8h1D;/ 显示第二行parameter ROW2_ADDR = 8h1C; / 写第2行起始地址parameter ROW2_0 = 8h14;pa

16、rameter ROW2_1 = 8h15;parameter ROW2_2 = 8h17;parameter ROW2_3 = 8h16;parameter ROW2_4 = 8h12;parameter ROW2_5 = 8h13;parameter ROW2_6 = 8h11;parameter ROW2_7 = 8h10;parameter ROW2_8 = 8h30;parameter ROW2_9 = 8h31;parameter ROW2_A = 8h33;parameter ROW2_B = 8h32;parameter ROW2_C = 8h36;parameter ROW2

17、_D = 8h37;parameter ROW2_E = 8h35;parameter ROW2_F = 8h34;reg 5:0 current_state, next_state; / 现态、次态/ FSM: always1always (posedge lcd_clk, negedge rst_n) if(!rst_n) current_state = IDLE; else current_state = next_state;/ FSM: always2alwaysbegin case(current_state) IDLE : next_state = DISP_SET; / 写指令

18、,初始化 DISP_SET : next_state = DISP_OFF; DISP_OFF : next_state = CLR_SCR; CLR_SCR : next_state = CURSOR_SET1; CURSOR_SET1 : next_state = CURSOR_SET2; CURSOR_SET2 : next_state = ROW1_ADDR; / 显示第一行 ROW1_ADDR : next_state = ROW1_0; ROW1_0 : next_state = ROW1_1; ROW1_1 : next_state = ROW1_2; ROW1_2 : next

19、_state = ROW1_3; ROW1_3 : next_state = ROW1_4; ROW1_4 : next_state = ROW1_5; ROW1_5 : next_state = ROW1_6; ROW1_6 : next_state = ROW1_7; ROW1_7 : next_state = ROW1_8; ROW1_8 : next_state = ROW1_9; ROW1_9 : next_state = ROW1_A; ROW1_A : next_state = ROW1_B; ROW1_B : next_state = ROW1_C; ROW1_C : next

20、_state = ROW1_D; ROW1_D : next_state = ROW1_E; ROW1_E : next_state = ROW1_F; ROW1_F : next_state = ROW2_ADDR; / 显示第二行 ROW2_ADDR : next_state = ROW2_0; ROW2_0 : next_state = ROW2_1; ROW2_1 : next_state = ROW2_2; ROW2_2 : next_state = ROW2_3; ROW2_3 : next_state = ROW2_4; ROW2_4 : next_state = ROW2_5;

21、 ROW2_5 : next_state = ROW2_6; ROW2_6 : next_state = ROW2_7; ROW2_7 : next_state = ROW2_8; ROW2_8 : next_state = ROW2_9; ROW2_9 : next_state = ROW2_A; ROW2_A : next_state = ROW2_B; ROW2_B : next_state = ROW2_C; ROW2_C : next_state = ROW2_D; ROW2_D : next_state = ROW2_E; ROW2_E : next_state = ROW2_F;

22、 ROW2_F : next_state = ROW1_ADDR; / default : next_state = IDLE ; endcaseend/ FSM: always3always (posedge lcd_clk, negedge rst_n)begin if(!rst_n) begin lcd_rs = 0; lcd_data = 8hxx; end else begin / 写lcd_rs case(next_state) IDLE : lcd_rs = 0; / 写指令,初始化 DISP_SET : lcd_rs = 0; DISP_OFF : lcd_rs = 0; CL

23、R_SCR : lcd_rs = 0; CURSOR_SET1 : lcd_rs = 0; CURSOR_SET2 : lcd_rs = 0; / 写数据,显示第一行 ROW1_ADDR : lcd_rs = 0; ROW1_0 : lcd_rs = 1; ROW1_1 : lcd_rs = 1; ROW1_2 : lcd_rs = 1; ROW1_3 : lcd_rs = 1; ROW1_4 : lcd_rs = 1; ROW1_5 : lcd_rs = 1; ROW1_6 : lcd_rs = 1; ROW1_7 : lcd_rs = 1; ROW1_8 : lcd_rs = 1; ROW

24、1_9 : lcd_rs = 1; ROW1_A : lcd_rs = 1; ROW1_B : lcd_rs = 1; ROW1_C : lcd_rs = 1; ROW1_D : lcd_rs = 1; ROW1_E : lcd_rs = 1; ROW1_F : lcd_rs = 1; / 写数据,显示第二行 ROW2_ADDR : lcd_rs = 0; ROW2_0 : lcd_rs = 1; ROW2_1 : lcd_rs = 1; ROW2_2 : lcd_rs = 1; ROW2_3 : lcd_rs = 1; ROW2_4 : lcd_rs = 1; ROW2_5 : lcd_rs = 1; ROW2_6 : lcd

copyright@ 2008-2023 冰点文库 网站版权所有

经营许可证编号:鄂ICP备19020893号-2