ImageVerifierCode 换一换
格式:DOCX , 页数:47 ,大小:1.34MB ,
资源ID:13178948      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bingdoc.com/d-13178948.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(总结FPGA软件用法.docx)为本站会员(b****8)主动上传,冰点文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰点文库(发送邮件至service@bingdoc.com或直接QQ联系客服),我们立即给予删除!

总结FPGA软件用法.docx

1、总结FPGA软件用法.总结 FPGA软件用法一 Modelsim最近一直没有弄明白 FPGA的什么是前仿真和后仿真。 经过这几天的折磨终于弄明白了。所谓的前仿真也就是功能仿真,它所实现的是只是对功能进行验证。而对于代码在 FPGA运行时候所出现的线延时或者出现毛刺的时候代码能否实现设计功能是无法验证的。 这些都需要在 FPGA的后仿真进行。Modelsim 前仿真的基本步骤是:1、 打开 Modelsim 6.5 SE 选择 File - New - Project 如图 1 所示图 12、 弹出下面的对话框图 2Project Name 输入工程的名称,尽量与模块的名称相同 (因为 Quar

2、tus ii 要求工程名与实体名相同,所以培养成这种习惯)3、 选择 Create New File图 34、弹出如下图所示的对话框.4、 编写 Verilog 被测试和测试代码并保存。5、 在 Project 对话框中,选择任意的文件点击右键选择 Compile - Compile All 如下图所示6、编译完以后再 Layout 选择 Simulate 在 Library 选择测试文件然后点击 Simulate 如下图所示7、点击 View-wave, 将需要观察的信号拖入 wave 对话框中,如下图所示.8、点击 Run 就可以观察输出波形, 如果没有看见可以点击 输出的信号充满整个屏幕

3、。Modelsim 6.5 Se 的前仿真的操作步骤就到此结束了二 关于 Quatrus ii 与 modelsim 的联合仿真(前仿真与后仿真)1.前仿真前仿真和后仿真区别在于前仿真属于功能仿真只需要XXX.v(被测的文件)和XXX_test.v(测试文件)两个文件。后仿真 还需要 加入一个关于硬件的文件, (该文件根据所选择的硬件的型号来定)例如 选择的是 cyclone 系列的芯片所以在C:altera90sp2quartusedasim_lib 中选择 cyclone_atoms.v 文件。.关于 Quatrus ii 与 modelsim 联合仿真的步骤如下:1、 打开 Quatru

4、s ii 新建立一个工程如 sftreg 。2、 进入菜单栏的 Assignments 选择 EDA Tool Settings,其设置如下图所示:3、点击 重新编译后,在工程目录中将会多了cyclone_atoms.v( C:altera90sp2quartusedasim_libsimulation 文 件 夹 。 然 后 将)和被测试文件 (如 sftreg.v)拷贝在 simulation/modelsim 文件夹下面,以便于后仿真使用。.注意同时前仿真的时候还要把 测试文件 *test.v 文件拷到工程目录下。如下所示:.前仿真:打开 modelsim 在 Library 对话框下点

5、击 File - Change directory 弹出对话框下图所示:将路径设置为工程所在文件夹,如下图所示:.在 Library 的窗口下,讲原来的 work 文件删除,如下图所示:.然后再空白处点击右键,选择 New - Library.然后点击 Compile - compile , 弹出下面的对话框,选择测试和被测试的文件,如下图所示编译完成后点击 work 选择测试文件,然后点击右键选择 Simulation ,如下图所示:.弹出如下图,将需要观察测的信号拖入波形窗口中在波形窗口中点击 Run 就可以看到波形输出了.综合后功能仿真的步骤:进行综合后功能仿真需要的输入文件:在 Qua

6、rtusII 里面生成的网表文件 .vo;TestBench 文件;仿真原型文件(在这时就添加进来)或预编译的库文件(仿真时指定)1、打开 modelsim 在 Library 对话框下点击 File - Change directory 弹出对话框下图所示:.4、 路径设置如下图,路径一定要设置在工程文件 simulationmodelsim.5、 在 Library 的空白处点击右键,选择 New - Library ,新建一个 work_test6、 然后点击 Compile - compile , 弹出下面的对话框,选择能选择的文件( 被测试文件和测试文件都要编译还有网表文件 .vo)

7、,如下图所示,注意 Library 对话框中一定要选择你所建立的文件夹,例如这里选择了 work_test.7、 编译完成后,在 Library 的面板中点开 work_test 选择测试文件,点击右键弹出如下图,将需要观察测的信号拖入波形窗口中.在波形窗口中点击 Run 就可以看到波形输出了.前仿真可以直接用 modelsim 进行仿真,后仿真就需要 Quartus ii 和 modelsim 进行联合仿真。到此为关于 FPGA的相关软件的基本操作就结束了!布局布线后仿真后仿是在前仿的基础上进行的, 只是借用 QuartusII 生成几个文件 (网标文件 .vo, 反标注文件.sdo )添加

8、到 Modelsim 里,然后再添加 Alterad 的库就 OK了。首先,用 Quartus 建立一个工程,把刚才的 add.v 作为顶层模块,综合,布局布线,这个在上一结已经讲过,这里就不多说了,然后点击如图所示:.这样就会生成一个文件夹 simulation ,里面会有需要的文件,要复制到 Modelsim 工程的文件夹下,如图红圈所示:.然后,回到 Modelsim 工程下,把刚才复制到 Modelsim 工程下的 add.vo 文件导入到工程下面,如图所示:.选择 add.v 文件.添加进来以后进行编译,当问好“?”变为对号“”时,就编译 OK,下来进行仿真;.点击仿真图标,先选中激

9、励文件 add_top.v 文件,但不要和前仿一样,不能直接就点击 OK,还要设置两项;第一项,导入 Altera 的库,这个库在 Modelsim 安装程序的路径下, 点击 Libraries-add,选择仿真库,我选择的是 Cycloneii ,因为我用 quartusII 建立工程的时候就是选择的是 CycloneI ,两个要一至; I.第二项, 点击 SDF(但是如果在 .vo 文件中搜索到有 .sdo 文件就不用再经行后面的工作了 ),添加 add.sdo 文件,点击 add,索引工程下面的 add_v.sdo 文件 , 第二栏是填写应用区域: / 激励模块名 / 实例化名,.以上都设置好以后就可以点击 OK了,就会直接出现下面的图片, 然后添加波形; 查看波形;.这个就是时序仿真的波形, 和功能仿真的时序图有所不一样吧, 我认为这个和仿真激励有关。这个就是我总结的前仿和后仿。.

copyright@ 2008-2023 冰点文库 网站版权所有

经营许可证编号:鄂ICP备19020893号-2