ImageVerifierCode 换一换
格式:DOCX , 页数:7 ,大小:1.01MB ,
资源ID:13293486      下载积分:5 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bingdoc.com/d-13293486.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(八位加法器设计实验报告.docx)为本站会员(b****3)主动上传,冰点文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰点文库(发送邮件至service@bingdoc.com或直接QQ联系客服),我们立即给予删除!

八位加法器设计实验报告.docx

1、八位加法器设计实验报告实验四:8位加法器设计实验1.实验目的:熟悉利用quartus原理图输入方法设计简单组合电路,掌握层次化设计方法。2.实验原理:一个八位加法器可以由八个全加器构成,加法器间的进位可以串行方式实现,即将低位加法器的进位输出cout与相邻的高位加法器的最低进位输入信号cin相接。3.实验任务:完成半加器,全加器,八位加法器设计,使用例化语句,并将其设计成一个原件符号入库,做好程序设计,编译,程序仿真。1编译成功的半加器程序:module h_adder(a,b,so,co);input a,b;output so,co;assign so=ab;assign co=a&b;

2、endmodule2编译成功的全加器程序:module f_adder(ain,bin,cin,cout,sum); output cout,sum;input ain,bin,cin; wire net1,net2,net3; h_adder u1(ain,bin,net1,net2); h_adder u2(.a(net1),.so(sum),.b(cin),.co(net3); or u3(cout,net2,net3);endmodule3编译成功的八位加法器程序:module f_adder8(ain,bin,cin,cout,sum); output 7:0sum; output

3、cout;input 7:0ain,bin;input cin; wire cout0, cout1, cout2 ,cout3, cout4,cout5,cout6;f_adder u0(.ain(ain0),.bin(bin0),.cin(cin),.sum(sum0),.cout(cout0);f_adder u1(.ain(ain1),.bin(bin1),.cin(cout0),.sum(sum1),.cout(cout1); f_adder u2(.ain(ain2),.bin(bin2),.cin(cout1),.sum(sum2),.cout(cout2);f_adder u3

4、(.ain(ain3),.bin(bin3),.cin(cout2),.sum(sum3),.cout(cout3);f_adder u4(.ain(ain4),.bin(bin4),.cin(cout3),.sum(sum4),.cout(cout4);f_adder u5(.ain(ain5),.bin(bin5),.cin(cout4),.sum(sum5),.cout(cout5);f_adder u6(.ain(ain6),.bin(bin6),.cin(cout5),.sum(sum6),.cout(cout6);f_adder u7(.ain(ain7),.bin(bin7),.

5、cin(cout6),.sum(sum7),.cout(cout);endmodule4八位加法器仿真程序:module f_adder8_vlg_tst();/ constants / general purpose registers/reg eachvec;/ test vector input registersreg 7:0 ain;reg 7:0 bin;reg cin;/ wires wire cout;wire 7:0 sum;/ assign statements (if any) f_adder8 i1 (/ port map - connection between ma

6、ster ports and signals/registers .ain(ain), .bin(bin), .cin(cin), .cout(cout), .sum(sum);initial begin ain=10;bin=11;cin=0; #100 ain=10;bin=10;cin=0; #100 ain=10;bin=10;cin=1; #100 ain=12;bin=18;cin=0; #100 ain=12;bin=18;cin=1; #100 $stop; end endmodule5八位加法器仿真图:6元件原理图及元件入库:半加器原理图:文件入库bsf:全加器原理图:全加器元件入库:八位全加器rtl图:八位全加器仿真图:

copyright@ 2008-2023 冰点文库 网站版权所有

经营许可证编号:鄂ICP备19020893号-2