ImageVerifierCode 换一换
格式:DOCX , 页数:10 ,大小:247.72KB ,
资源ID:13774996      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bingdoc.com/d-13774996.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(EDA实习报告自动售卖机汇总.docx)为本站会员(b****6)主动上传,冰点文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰点文库(发送邮件至service@bingdoc.com或直接QQ联系客服),我们立即给予删除!

EDA实习报告自动售卖机汇总.docx

1、EDA实习报告自动售卖机汇总EDA技术及应用实习报告自动售卖机信息13-2班06号GMH北华大学电气信息工程学院 目 录一、设计任务功能简介 31、设计任务 32、主要功能 3二、原理、方案、框图及任务分解 31、原理 32、方案 43、框图 44、任务分解 5三、关键任务的设计代码及注释 5四、调试中出现的问题及解决方案 71、问题一 72、问题二 7五、产业化市场分析及预测 7六、测试及运行结果 8七、参考文献 10一、设计任务功能简介1、设计任务 自动售货机设计。利用软件QuartusII软件,使用VHDL语言结合硬件要求对本题目设计功能进行编程,并在完成整个硬件程序设计后,在软件平台上

2、进行编译和电路仿真,最后生成的目标文件下载到DE2-70实验板上实现上述所有功能并验证程序的可行性。2、主要功能 本设计主要实现的功能为:货物信息存储功能、商品选择功能、输入钱数处理功能、货架商品更换功能、语音提示功能、信息显示等功能。二、原理、方案、框图及任务分解1、原理 自动售货机(VendingMachine,VEM)是能根据投入的钱币自动付货的机器。自动售货机是商业自动化的常用设备,它不受时间、地点的限制,能节省人力、方便交易。是一种全新的商业零售形式,又被称为24小时营业的微型超市。能分为三种:饮料自动售货机、食品自动售货机、综合自动售货机。自动售货机是台机电一体化的自动化装置,在接

3、受到货币已输入的前提下,靠触摸控制按扭输入信号使控制器启动相关位置的机械装置完成规定动作,将货物输出。2、方案 根据题目要求机器设有2个投币孔,机器可以接受1元和5元的纸币,分别有八种商品,价格分别为1元,4元,2元,3元,6元, 7元,5元,8元,机器采用拨码开关模拟机器的复位键、确认购买键和商品选择,并且通过开发板上的LED等分别显示购买的不同情况,数码管实时显示购买金额,包括投入的价钱,找零的价钱。此设计按照EDA课程设计的流程进行源代码的编写、仿真。本设计主要利用Quartus等设计软件进行设计。3、框图 依题目要求设计流程框图如下所示:图2-1 程序流程图4、任务分解 本设计主要包括

4、按键模块、显示模块、语音提示模块。设计程序任务如下图:图2-2 程序任务图 任务一:存储商品信息。商品名称及商品价格等信息。 任务二:响应用户选择商品的操作。用户用过按键选择商品,售卖机要实时显示商品名称及价格信息。 任务三:货币处理。待用户选择商品结束,售卖机要接收用户投币,包括判断纸币的面额、总金额、是否投币结束等信息。 任务四:人性化设计。售卖机要有良好的人性化交互界面,以及必要的文字、语音等提示信息。三、关键任务的设计代码及注释商品选择进程设计:data:process(START,sel_add)begin if START=1 then f sel_addevent and sel

5、_add=1 then item=item+1;if item =000 then item_dis =11000000;money_dis=10011001;elsif item =001 then item_dis =11111001;money_dis=11111000;elsif item =010 then item_dis =10100100;money_dis=10100100;elsif item =011 then item_dis =10110000;money_dis=10110000;elsif item =100 then item_dis =10011001;mon

6、ey_dis=11111001;elsif item =101 then item_dis =10010010;money_dis=10011001;elsif item =110 then item_dis =10000010;money_dis=10110000;elsif item =111 then item_dis =11111000;money_dis=10000000;end if; end if;else item_dis=11111111;money_dis=11111111;price =0000; end if; end process data;-付钱进程设计:pay:

7、process(finish ,one,five)begin if finish=1 then if oneevent and one =1 then pay_money_one=pay_money_one+1; if fiveevent and five =1 then pay_money_five=pay_money_five+5; end if;pay_money pay_money_dis_g = 11000000;pay_money_dis_s pay_money_dis_g = 11111001;pay_money_dis_s pay_money_dis_g = 10100100;

8、pay_money_dis_s pay_money_dis_g = 10110000;pay_money_dis_s pay_money_dis_g = 10011001;pay_money_dis_s pay_money_dis_g = 10010010;pay_money_dis_s pay_money_dis_g = 10000010;pay_money_dis_s pay_money_dis_g = 11111000;pay_money_dis_s pay_money_dis_g = 10000000;pay_money_dis_s pay_money_dis_g = 10010000

9、;pay_money_dis_s pay_money_dis_g = 11000000;pay_money_dis_s pay_money_dis_g = 11111001;pay_money_dis_s pay_money_dis_g = 10100100;pay_money_dis_s pay_money_dis_g = 10110000;pay_money_dis_s pay_money_dis_g = 10011001;pay_money_dis_s pay_money_dis_g = 10010010;pay_money_dis_s pay_money_dis_g =11000000

10、; pay_money_dis_s = price then back money_back_dis money_back_dis money_back_dis money_back_dis money_back_dis money_back_dis money_back_dis money_back_dis money_back_dis money_back_dis money_back_dis =11000000; -声音提示进程设计: int:process(START,finish) begin if START =1 and finish = 0 then sound=01; els

11、if START =1 and finish = 1 then sound=10; elsif START =0 and finish = 0 then sound=11; end if; end process int;-end architecture behav;四、调试中出现的问题及解决方案1、问题一 问题描述:本设计语音芯片采用LJ600, 选择扬声器输出模式,可存储6段语音片段,对应6个引脚下降沿触发且低电平至少维持1ms,语音触发之后必须处于高电平状态,否则影响其他语音输出。综上描述,触发信号应为一个门信号。由于系统未引入时钟,且在同一进程中不可对输出信号重复赋值,产生问题。 解

12、决办法:代码如下图,在if语句中实现状态跳转。2、问题二 变量初始化状态必须明确定义高低电平。即状态输出时,必须考虑高低电平两种状态,不可以出现不确定状态。完全按照语法要求。五、产业化市场分析及预测 随着信息技术的发展及人们生活水平的不断提高,人们的消费观念及消费需求都在不断地改变和提高。自动售卖机正是为了满足人们的这种需求而在多年前被引入国内的。但早期的自动售卖机在国内并未获得预期的市场反应及消费者的广泛使用。现阶段大多数的自动售卖机只投放在国内一线城市,销售的货品也只停留在零食、饮料、饮用水、计生用品等有限的几样品种。 在零售业市场竞争日趋白热化的今天,压缩经营成本是增强企业市场竞争力的一

13、个主要手段。然而无论是连锁超市还是便利店,日益高涨的店面租金及人力成本,已经成为他们无法忽视也无法回避的沉重负担。而自动售卖机却完全没有上述所说的麻烦,它24小时营业、售货便利、方便找零,占地面积小(一般仅需1平方米)、移动性强,无须常驻人员盯守、省人工成本,时尚新颖、个性独特、集高科技于一体,有利于树立品牌形象,养护费用低,日常免维护这些优势势必引领潮流,使得自动售卖机日发成为市场新宠,在零售业市场占领一席之地。六、测试及运行结果 售卖机整体结构如下图所示:图6-1 整体结构商品0的价格为4元。商品1价格为7元。商品7价格为8元,输入11元,找零3元。商品选择按钮及确定按键。七、参考文献1潘松,黄继业. EDA技术与VHDL. 第4版. 北京:清华大学出版社,2013.42潘松,王国栋. VHDL实用教程(修订版). 成都:成都电子科技大学出版社,20013曾繁泰,陈美金. VHDL程序设计. 北京:清华大学出版社,2001.1

copyright@ 2008-2023 冰点文库 网站版权所有

经营许可证编号:鄂ICP备19020893号-2