ImageVerifierCode 换一换
格式:DOCX , 页数:23 ,大小:436.93KB ,
资源ID:14052889      下载积分:5 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bingdoc.com/d-14052889.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(《EDA》课程实训.docx)为本站会员(b****1)主动上传,冰点文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰点文库(发送邮件至service@bingdoc.com或直接QQ联系客服),我们立即给予删除!

《EDA》课程实训.docx

1、EDA课程实训电子设计自动化技术及应用课程实训任务书一 实训题目:1、数字电子钟2、交通灯3、8路彩灯控制器以上题目任选其一二 实训班级:专科电信062-63三 指导教师:陈思海四 实训地点:实验楼205五 实训时间:2007-2008学年第3学期第1-2周电子教研室陈思海20088 电子设计自动化技术及应用课程实训计划书一实训目的通过实训的教学实践活动达到: 巩固电子设计自动化技术及其相关的理论知识; 掌握常用EDA软件的基本操作方法; 培养学生独立分析问题和解决电子绘图员的能力;4 掌握硬件电路的编程技术及技巧;5 增强EDA实际工程设计技能。二实训任务和技术指标学生在以上课题中任选其一,

2、或是自行提出实训课题经实训指导教师认可。要求:1 Protel 绘制出正确的原理图、输出网表文件、材料清单文件、层次图等文件。2 用VHDL对系统进行编程。3 用Max+plusII对系统进行编译、功能仿真、时序分析。4 若条件允许,对系统用主芯片及外围电路的PCB实现5 若有条件,对系统进行器件下载编程与硬件实现。 三实训过程 总体方案选择(*.prj) *.DDB,.*SCH,*ERC *NET,*PCB。 VHDL源程序 源程序编译、逻辑功能仿真、时序分析。 器件下载编程。四、调试 分布调试与综合调试五、改进与思考、问题讨论六、实训成果设计说明书一份;原理图、印制电路板图各一张;各种文件

3、(存于磁盘上);输出源程序清单。七、实训总体要求 课程实训应根据实训任务及指标要求,遵循国际标准,以降低成本为主,考虑发展,统筹兼顾,励行节约。 说明书、设计图:要求文字说明简明扼要,有分析论证,提出问题、解决问题,设计图纸应做到内容完整,清晰整齐。 实训期间遵守学校校规校纪,每天按时到设计场所,不迟到,不早退,有事必须履行请假手续。(有3次旷者,实训不合格) 爱护教师提供的一切资料和实验设备,并高度重视人身和设备安全。 讲究设计场所的卫生,每天分组轮流打扫。八参考资料 可编程逻辑器件设计及应用 张原 机械工业出版社 2003 EDA技术应用综合实例分析谭会生 西安电子科技大学出版社2004

4、电子爱好者杂志 2005 电路原理图与电路板设计教程Protel 99SE 夏路易 北京希望电子出版社 2002相关教材指导教师:陈思海 20088 附: Digital clock:Digital clock图1 整体框图1、 秒计数模块2、 分计数模块3、 小时计数模块4、 驱动模块(speaker)5、 扫描(选择)模块6、 译码显示模块 Traffic lamp1.jtd2 .Jtdzq Controller for 8 Color lamp base on vhdl 1 功能要求:设计一8路彩灯控制器,能控制8路彩灯按照两种节拍、三种花型循环变化。两种节拍分别为0.25s和0.5s。

5、三种花型分别是:(1) 8路彩灯从左至右按次序渐亮,全亮后逆序渐灭。(2) 从中间到两边对称地渐亮,全亮后仍由中间向两边逐次渐灭。(3) 8路彩灯分成两半,从左至右顺次渐亮,全亮后则全灭。2 8路彩灯控制器的设计 根据功能要求,可把8路彩灯控制器的输出按花型循环要求列成表格,见下表1,其中Q7-Q0是控制器输出的8路彩灯的控制信号,高电平时彩灯亮。状态标志flag是为了便于有规律地给8路输出赋值而设立的不同花型的检测信号。表1 8路彩灯控制器的工作状态表序号Q7Q6Q5Q4Q3Q2Q1Q0状态标志flag说明000000000000第一种花型顺序110000000211000000311100

6、000411110000511111000611111100711111110811111111911111110001第一种花型逆序10111111001111111000121111000013111000001411000000151000000016000000001700011000010第二种花型1800111100190111111020111111112100000000112200000000230000000024000000002510001000101第三种花型261100110027111011102811111111另外,设计时可所主要精力放在如何使三种花型正确循环上,而两种节拍的交替只需要把4Hz的时钟脉冲二分频,得到一个2Hz的时钟脉冲,让这两种时钟脉冲来交替控制花型循环即可。这种设计思想就体现在图1所示的顶层原理图中1 顶层文件图1 8路彩灯控制器的顶层原理图21 CD模块22 Mux模块2 3 Fen模块

copyright@ 2008-2023 冰点文库 网站版权所有

经营许可证编号:鄂ICP备19020893号-2