ImageVerifierCode 换一换
格式:DOCX , 页数:27 ,大小:406.31KB ,
资源ID:14283667      下载积分:5 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bingdoc.com/d-14283667.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(QUARTUS数字电子密码锁.docx)为本站会员(b****5)主动上传,冰点文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰点文库(发送邮件至service@bingdoc.com或直接QQ联系客服),我们立即给予删除!

QUARTUS数字电子密码锁.docx

1、QUARTUS数字电子密码锁1.概述电子密码锁在生活中十分常见,在这我将设计一个具有较低成本的电子密码锁,本文讲述了我整个设计过程及收获。讲述了电子密码锁的的工作原理以及各个模块的功能,并讲述了所有部分的设计思路,对各部分电路方案的选择、元器件的筛选、以及对它们的调试、对波形图的分析,到最后的总体图的分析。2.设计要求 本设计名称为电子密码锁,用四个模块,分别为输入模块、控制模块、扫描器模块、显示模块,来控制密码的输入、验证与显示。设计所要实现的功能为:1 数码输入:手动用3个拨码开关与3个按键设计三位密码的输入,并在显示器显示出该数值。2 数码验证:开锁时输入密码后,拨动 RT键使其为高电平

2、,而CHANGE为低电平检测,密码正确时开锁,输出LOCKOPEN灯灭,LOCKCLOSE灯亮,表示开锁成功。3 错误显示:当密码输入错误时,LOCKOPEN灯亮,LOCKCLOSE灯灭,表示开锁失败。4 更改密码:当改变密码时,按下CHANGE键使其为高电平,而RT为低电平时,可改变密码。5 密码清除:按下REST可清除前面的输入值,清除为“888”。3.总体框图1)设计方案:电子密码锁,主要由三部分组成:密码输入电路、密码锁控制电路和密码锁显示电路。作为电子密码锁的输入电路,可选用的方案有拨码与按键来控制输入和触摸式键盘输入等多种。拨码与按键和触摸式4*4键盘相比简单方便而且成本低,构成的

3、电路简单,本设计中采用拨码与按键来作为该设计的输入设备。数字电子密码锁的显示信息电路可采用LED数码显示管和液晶屏显示两种。液晶显示具有高速显示、可靠性高、易于扩展和升级的特点,但是普通的液晶存在亮度低、对复杂环境适应能力差的特点,但是在本设计中任然使用LED数码管。根据以上选定的输入设备与与显示器件,并考虑到现实各项密码锁功能的具体要求,与系统的设计要求,系统设计采用自顶向下的设计方案。整个密码锁系统的总体总体框图如图3.1所示。输入模块寄存器与清零信号发生电路数值比较器LED灯扫描电路三选一选择器开/关锁电路控制模块显示模块图3.1电子密码锁系统总体框图4.电子密码锁的波形仿真4.1电子密

4、码锁的设计流程使用Quartus进行电子密码锁设计的流程为1.编写VHDL程序(使用Text Editor)(见附录);2.编译VHDL程序(使用Compiler);3.仿真验证VHDL程序(使用Waveform Editor, Simulator);4.进行芯片的时序分析(使用Timing Analyzer);5.安排芯片管脚位置(使用Floorplan Editor);6.下载程序至芯片(使用Programmer)。5.功能模块5.1 输入模块1)功能介绍输入时有三个拨码键控制输入,每个拨码各控制一位密码,对于其中一个拨码键每拨一次码按一次按键,表示输入一位,当输入四位时输出一位数,用“8

5、88”作为初始密码。2)输入模块与仿真图形单脉冲控制如图5.1如下图图5.1上图为单脉冲控制输入,当M给一上升沿信号将在PUL输出一位与之对应的高或低电平。四位串行输入并行输出寄存器如下图5.1.2图5.1.2上图为4为串行输入并行输出寄存器,它由4个D触发组成,当reset为高电平时,每给一脉冲输入数据将向右移一位二值代码,它能同时复位3)程序的输入在文本区内输入程序,程序如下: 单脉冲信号控制puls.vhdLIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY puls IS PORT (PUL,M:IN STD_LOGIC; Q:OUT STD_

6、LOGIC); END puls;ARCHITECTURE BEHAVE OF puls IS SIGNAL TEMP:STD_LOGIC; BEGIN PROCESS(M) BEGIN IF MEVENT AND M=1 THEN IF PUL=1 THEN TEMP=1; ELSE TEMP=0; END IF; END IF; END PROCESS;Q0);ELSEif clkevent and clk=1 thenq(3):=q(2);q(2):=q(1);q(1):=q(0);q(0):=din; END IF;END IF;qoutq=0000;q1q=0001;q1q=0010

7、;q1q=0011;q1q=0100;q1q=0101;q1q=0110;q1q=0111;q1q=1000;q1q=1001;q1q=0000;q1=0000;END CASE;END IF; END PROCESS;END ARCHITECTURE ART;总功能控制模块Eleclock.vhdLIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY Eleclock ISPORT(NB:IN STD_LOGIC_VECTOR(3 DOWNTO 0);NS:IN STD_LOGIC_VECTOR(3 DOWNTO 0);NG:IN STD_LOGIC_

8、VECTOR(3 DOWNTO 0);CLK:IN STD_LOGIC;CHANGE,RT: IN STD_LOGIC;DB:OUT STD_LOGIC_VECTOR(3 DOWNTO 0);DS:OUT STD_LOGIC_VECTOR(3 DOWNTO 0);DG:OUT STD_LOGIC_VECTOR(3 DOWNTO 0);LOCKOPEN,LOCKCLOSE:OUT STD_LOGIC);END ENTITY Eleclock;ARCHITECTURE ART OF Eleclock ISCOMPONENT Key ISPORT(CLK:IN STD_LOGIC;DATA:IN S

9、TD_LOGIC_VECTOR(3 DOWNTO 0);Q:OUT STD_LOGIC_VECTOR(3 DOWNTO 0);Q1:OUT STD_LOGIC_VECTOR(3 DOWNTO 0);END COMPONENT Key;SIGNAL ENABLE,C0,C1,S,ENABLE1:STD_LOGIC;SIGNAL TB,TS,TG,D_B,D_S,D_G:STD_LOGIC_VECTOR(3 DOWNTO 0);BEGINENABLE=CHANGE AND(NOT RT);ENABLE1CLK,DATA=NB,Q=DB,Q1=D_B);U1:KEY PORT MAP(CLK=CLK

10、,DATA=NS,Q=DS,Q1=D_S);U2:KEY PORT MAP(CLK=CLK,DATA=NG,Q=DG,Q1=D_G);PROCESS(CLK,D_B,D_S,D_G) ISBEGINIF CLKEVENT AND CLK=1 THENIF ENABLE=1 THENTB=D_B;TS=D_S;TG=D_G;END IF;IF ENABLE1=1 THENIF ( TB=D_B AND TS=D_S AND TG=D_G) THENLOCKOPEN=1;LOCKCLOSE=0; ELSELOCKOPEN=0;LOCKCLOSE=1;END IF;END IF;END IF;END

11、 PROCESS;END ARCHITECTURE ART;4选1选择器与扫描器sel.vhdLIBRARY IEEE;USE IEEE.STD_LOGIC_ARITH.ALL;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY sel ISPORT(QIN1,QIN2,QIN3:IN STD_LOGIC_VECTOR(3 DOWNTO 0);CLK,RST:IN STD_LOGIC;QOUT:OUT STD_LOGIC_VECTOR(3 DOWNTO 0);sel:OUT STD_LOGIC_VECTOR(7

12、DOWNTO 0);END sel;ARCHITECTURE ART OF sel ISBEGINPROCESS(CLK,RST)VARIABLE CNT:INTEGER RANGE 0 TO 2;BEGINIF (RST=0) THENCNT:=0;sel =00000000;QOUTQOUT=QIN1;sel QOUT=QIN2;selQOUT=QIN3;selQOUT=0000;sel=11111111;END CASE;END IF;END PROCESS;END ARCHITECTURE ART;5.3 显示模块1)功能介绍将密码用BCD七段数码管显示2)显示模块与仿真波形图5.3,

13、如下图图5.3上图将BCD码转化到七段译码电路上 表5-3 BCD-七段数码管的真值表输入 输出D C B A Y1 Y2 Y3 Y4 Y5 Y6 Y7 字形0 0 0 0 1 1 1 1 1 1 0 00 0 0 1 0 1 1 0 0 0 0 10 0 1 0 1 1 0 1 1 0 1 20 0 1 1 0 1 1 1 0 0 1 30 1 0 0 0 1 1 0 0 1 1 40 1 0 1 1 0 1 1 0 1 1 50 1 1 0 1 0 1 1 1 1 1 60 1 1 1 1 1 1 0 0 0 0 71 0 0 0 1 1 1 1 1 1 1 81 0 0 1 1 1 1

14、0 0 1 1 9表5-3在文本区内输入程序,程序如下:Seg7.vhdLIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY Seg7 ISPORT(num:IN STD_LOGIC_VECTOR(3 DOWNTO 0);led:OUT STD_LOGIC_VECTOR(6 DOWNTO 0);END Seg7;ARCHITECTURE ACT OF Seg7 ISBEGINLED=1111110WHEN num=0000ELSE 0110000WHEN num =0001ELSE 110

15、1101WHEN num =0010ELSE 1111001WHEN num =0011ELSE0110011WHEN num =0100ELSE1011011WHEN num =0101ELSE1011111WHEN num =0110ELSE1110000WHEN num =0111ELSE1111111WHEN num =1000ELSE1111011WHEN num =1001ELSE1110111WHEN num =1010ELSE0011111WHEN num =1011ELSE1001110WHEN num =1100ELSE0111101WHEN num =1101ELSE10

16、01111WHEN num =1110ELSE1000111WHEN num =1111;END ACT;6.总体设计电路图1)功能介绍将各个模块连接在一起实现。2)顶层文件如下:3)波形仿真如下:图61当change为高电平,rt为低电平时,输入“952”验证,当再次输入“952”时锁打开,设计正确。设计心得体会通过这次设计,使我对EDA产生了浓厚的兴趣。特别是当每一个子模块编写调试成功时,心里特别的开心。在编写蜂鸣器模块时,我遇到了很大的困难,一直被定时问题所困扰,解决了这个问题时,我特别的高兴。写控制文件的程序时,也遇到了不少问题,特别是各元件之间的连接,以及信号的定义,总是有错误,在细

17、心的检查下,终于找出了错误和警告,排除困难后,程序编译就通过了。再对控制模块仿真时,虽然语法正确,但连最基本的输入输出都进不去,我们弄了很多遍都不行,后来在老师的指导下我们才解决了这个问题。另一个问题就是三个时钟信号的配合,其中显示模块和控制模块的信号频率要高。其次,在进行引脚连接时一定要细心,有些引脚不能使用,我因为没注意使得开始时一直不能得到正确的结果。这次EDA课程设计历时两个星期,在整整两个星期的日子里,可以说是苦多于甜,但是可以学的到很多很多的东西,同时不仅可以巩固以前所学过的知识,而且学到了很多在书本上所没有学到过的知识。通过这次课程设计使我懂得了理论与实际相结合是很重要的,只有理

18、论知识是远远不够的,只有把所学的理论知识与实践相结合起来,从理论中得出结论,才能真正为社会服务,从而提高自己的实际动手能力和独立思考的能力。在设计的过程中遇到的问题,反映出来我的许多不足之处,我以后要努力克服缺点。 总的来说,这次设计的密码锁还是比较成功的,在设计中遇到了很多问题,最后在同学和老师的辛勤的指导下外加上自己的努力,终于都得到了解决,因此很有成就感,终于觉得平时所学的知识有了实用的价值,达到了理论与实际相结合的目的。参考文献1 阎石主编.数字电子技术基础(第五版).高等教等育出版社.,20072 李国丽 朱维勇 何剑春.EDA与数字系统设计(第2版).机械工业出版社.,20023

19、宋武烈,等.EDA技术实用教程. 湖北科学技术出版社 ,20064谭会生,等 . EDA技术综合应用实例与分析.西安电子科技出版社 ,2003设计思想: 本课程设计主要是基于VHDL文本输入法设计电子密码锁。基于EDA技术设计的电子密码锁,以其价格便宜、安全可靠、使用方便,受到了人们的普遍关注。而以现场可编程逻辑器件(FPGA)为设计载体,以硬件描述语言(VHDE)为主要表达方式,以Quartus开发软件为设计工具设计的电子密码锁,由于其能够实现数码输入、数码清除、密码解除、密码更改、密码上锁和密码解除等功能,因此,能够满足社会对安全防盗的要求。一、系统设计实现的基本功能1、输入密码正确后,正

20、确小灯(led)亮,错误小灯(led1)不亮;2、正确修改密码后,正确小灯(led)不亮,错误小灯(led1)不亮;3、输入错误密码,正确小灯(led)不亮,错误小灯(led1)亮,并有1KHz闹铃声产生;4、按下reset后,密码归为初始密码。开锁代码为8位二进制数,当输入代码的位数和位值与锁内给定的密码一致,且按规定程序开锁时,方可开锁,并点亮开锁指示灯D3。否则系统进入“错误”状态,并发出报警信号。数字锁的报警方式是点亮指示灯D6,并使喇叭鸣叫来报警,报警动作直到按下复位开关,报警才停止。此时,数字锁自动进入等待下一次开锁的状态。三.程序分析 比较部分 if counter=1000 t

21、hen-输入为8位数码时比较 if code=getcode then led=1;-正确灯亮 led1=0; ring=0; allow=1;-允许修改密码 else allow=0; led=0; led1=1;-错误灯亮 ring=1;-闹铃响 计数部分 counter=counter+1;四、结论及心得体会仿真结果:此次的设计是参考了EDA技术实验与课程设计里的程序,不过由于程序里面出现了不少的语法错误,使得在编译时出现了20多个错误,不过在看过书后,细心地检查过程序后方能纠正过来。在纠正的过程中获益良多。 在EDA软件平台上,用硬件描述语言VHDL完成设计文件,然后由计算机自动地完成

22、逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。感觉EDA还是很有研究价值的,能大大的减少设计者的工作量。从编写程序到完成此次课程设计,亲自操作软件起来从生硬到熟练,现在能较娴熟的运用Quartus。附:VHDL源程序library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity code isport( clk: in std_logic;-电路工作时的时钟信号 clk1: in std_logic;-闹铃产生需要的时钟信号 k: in

23、 std_logic;-高电平表示输入1 led: out std_logic;-输入正确时亮 led1: out std_logic;-输入错误时亮 reset: in std_logic;- 按下时复位 want: in std_logic;-是否修改密码 alarm: out std_logic;-输出闹铃声 show: out std_logic_vector(3 downto 0);-提示作用end;architecture a of code issignal temp: std_logic_vector(3 downto 0);-输入一位加1signal code: std_logic_vector(7 downto

copyright@ 2008-2023 冰点文库 网站版权所有

经营许可证编号:鄂ICP备19020893号-2