ImageVerifierCode 换一换
格式:DOCX , 页数:13 ,大小:17.66KB ,
资源ID:14363796      下载积分:5 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bingdoc.com/d-14363796.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(EDA程序改错题.docx)为本站会员(b****5)主动上传,冰点文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰点文库(发送邮件至service@bingdoc.com或直接QQ联系客服),我们立即给予删除!

EDA程序改错题.docx

1、EDA程序改错题程序改错题1.已知sel为STD_LOGIC_VECTOR(1DOWNTO0)类型的信号,而a、b、c、d、q均为STD_LOGIC类型的信号,请判断下面给出的CASE语句程序片段: CASEselIS WHEN“00”=qqqq=d; ENDCASE; 答案:CASE语句缺“WHENOTHERS”语句。2.已知data_in1,data_in2为STD_LOGIC_VECTOR(15DOWNTO0)类型的输入端口,data_out为STD_LOGIC_VECTOR(15DOWNTO0)类型的输出端口,add_sub为STD_LOGIC类型的输入端口,请判断下面给出的程序片段:

2、 LIBRARYIEEE; USEIEEE.STD_LOGIC_1164.ALL; ENTITYaddIS PORT(data_in1,data_in2:ININTEGER; data_out:OUTINTEGER); ENDadd; ARCHTECTUREadd_archOFaddIS CONSTANTa:INTEGER=2; BEGIN data_out=(data_in1+data_in2)*a; ENDaddsub_arch;答案:常量声明时赋初值的“=”符号应改用“:=”符号。3.已知Q为STD_LOGIC类型的输出端口,请判断下面的程序片段: ARCHITECTUREtest_ar

3、chOFtestIS BEGIN SIGNALB:STD_LOGIC; QQQQQ=0;ENDCASE;ENDtest;【参考答案】:CASE语句应该存在于进程PROCESS内。2已知start为STD_LOGIC类型的信号,sum是INTEGER类型的信号,请判断下面的程序片断:PROCESS(start)BEGINFORiIN1TO9LOOPsum:=sum+i;ENDLOOP;ENDPROCESS;【参考答案】:sum是信号,其赋值符号应该由“:=”改为“=”。3已知Q为STD_LOGIC类型的输出端口,请判断下面的程序片断:ARCHITECTUREtestOFtestISBEGINSI

4、GNALB:STD_LOGIC;Q=B;ENDtest;【参考答案】:信号SIGNAL的申明语句应该放在BEGIN语句之前。4已知A和B均为STD_LOGIC类型的信号,请判断下面的语句:A=0;B=x;【参考答案】:不定态符号应该由小写的x改为大写的X。5已知A为INTEGER类型的信号,B为STD_LOGIC类型的信号,请判断下面的程序片断:ARCHITECTUREtestOFtestISBEGINBqqqq=d;ENDCASE;【参考答案】:CASE语句缺“WHENOTHERS”语句。四、判断下面程序中是否有错误,若有错误请改正;1、SIGNALA,EN:STD_LOGIC;PROCES

5、S(A,EN)VARIABLEB:STD_LOGIC;BEGINIFEN=1THENB=A;END;ENDPROCESS;2、 RCHITECTUREONEOFSAMPLEISVARIABLEA,B,C:INTEGER;BEGINC=A+B;END;五、判断下列程序是否有错误,如有则指出错误所在(10分)程序:LIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;USEIEEE.STD_LOGIC_ARITH.ALL;USEIEEE.STD_LOGIC_UNSIGNED.ALL;ENTITYzyt12ISPORT(R,EN,CP:INbit;Q:BUFFERSTD_LO

6、GIC_VECTOR(0DOWNTO3);CO:OUTSTD_LOGIC);ENDzyt;ARCHITECTUREc10OFzyt12BEGINCO=1WHEN(EN=1ANDQ=1011)ELSE;0;PROCESS(R,CP)BEGINIFR=1THENQ=0000;ELSIF(CPEVENTANDCP=1)THENIFEN=0THENQ=Q;ELSIFQ=1011THENQ=0000;ELSEQ:=Q+1;ENDIF;ENDPROCESS;ENDone;仔细阅读下列程序,回答问题LIBRARYIEEE; -1USEIEEE.STD_LOGIC_1164.ALL; -2ENTITYLED7

7、SEGIS -3PORT( A :INSTD_LOGIC_VECTOR(3DOWNTO0); -4 CLK :INSTD_LOGIC; -5 LED7S:OUTSTD_LOGIC_VECTOR(6DOWNTO0); -6ENDLED7SEG; -7ARCHITECTUREoneOFLED7SEGIS -8 SIGNALTMP:STD_LOGIC; -9BEGIN -10 SYNC:PROCESS(CLK,A) -11 BEGIN -12 IFCLKEVENTANDCLK=1THEN -13 TMPLED7SLED7SLED7SLED7SLED7SLED7SLED7SLED7SLED7SLED7

8、SLED7S=00000001、LIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;USEIEEE.STD_LOGIC_UNSIGNED.ALL;ENTITYCNT4BISPORT(CLK,RST,ENA:INSTD_LOGIC;OUTY:OUTSTD_LOGIC_VECTOR(3DOWNTO0);COUT:OUTSTD_LOGIC);ENDCNT4B;ARCHITECTUREbehavOFCNT4BISSIGNALCQI:STD_LOGIC_VECTOR(3DOWNTO0);BEGINPROCESS(CLK,RST,ENA)BEGINIFRST=1THENCQI=0

9、000;0000ELSIFCLKEVENTANDCLK=1THENIFENA=1THENCQI=CQI+1;1ELSECQI=0000;ENDIF;ENDIF;OUTY=CQI;ENDPROCESS;COUT=CQI(0)ANDCQI(1)ANDCQI(2)ANDCQI(3);ENDbehav;2、LIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;USEIEEE.STD_LOGIC_ARITH.ALL;USEIEEE.STD_LOGIC_UNSIGNED.ALL;ENTITYCLK_6DISPORT(CLK,RST:INSTD_LOGIC;CLK_OUT:OUTST

10、D_LOGIC);ENDCLK_6D;ARCHITECTUREONEOFCLK_6DISVARIABLETEMP:STD_LOGIC;SIGNALBEGINPROCESS(CLK)VARIABLECNT6D:INTEGERRANGE0TO3;CONSTANTSIGN:INTEGER:=2;BEGINIF(RST=“1”)THENTEMP=“0”;1,0ELSIFCLKEVENTANDCLK=1THEN(CLKEVENTANDCLK=1)IF(CNT6D=SIGN)THENCNT6D:=0;TEMP=NOTTEMP;ELSECNT6D:=CNT6D+1;ENDIF;ENDIF;ENDPROCES

11、S;CLK_OUTynull;endcase;endprocess;endone;4、LIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;ENTITYcounterISPORT(reset:INSTD_LOGIC;clock:INSTD_LOGIC;num:bufferintegerrange0to3;多一个“;”);END;ARCHITECTUREbehavOFjishuISjishu改为counterBeginProcess(reset,clock)BeginIfreset=1thennum=0;Elsifrising_edge(clock)thenIfnum=3

12、thennum=0;elsenum=num+1;少endif;endif;endprocess;end;5、LIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;USEIEEE.STD_LOGIC_UNSIGNED;STD_LOGIC_UNSIGNED.ALLENTITYLX3_2ISPORT(CLK,CLR,OE:INBIT;D:INSTD_LOGIC_VECTOR(7DOWNTO0);Q:OUTSTD_LOGIC_VECTOR(7DOWNTO0);ENDLX3_2;ARCHITECTUREstrucOFLX3_2ISVARIABLEQ_TEMP:STD_LOGIC_

13、VECTOR(7DOWNTO0);SIGNALBEGINPROCESS(CLR)PROCESS(CLK)BEGINIFCLR=0THENQ_TEMP=00000000;00000000ELSIFCLK=1THENQ_TEMP=D;ELSEQ_TEMP=Q_TEMP;ENDIF;ENDPROCESS;Q=Q_TEMPWHENOE=1ELSEZZZZZZZZ;ENDstruc;6、LIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;USEIEEE.STD_LOGIC_UNSIGNED;USEIEEE.STD_LOGIC_UNSIGNED.ALL;ENTITYLX3_2ISPORT(A:INSTD_LOGIC_VECTOR(3DOWNTO0);B:INSTD_LOGIC(3DOWNTO0);STD_LOGIC_VECTORGT,LT,EQ:OUTSTD_LOGIC);ENDLX3_2;ARCHITECTUREoneOFLX8_2ISLX3_2BEGINPROCESS(A,B)BEGINGT=0;LT=0;EQBTHENGT=”0”;0ELSIFABTHENLT=”0”;0ELSEEQnull;附:自动化123江西理工大学王显聪

copyright@ 2008-2023 冰点文库 网站版权所有

经营许可证编号:鄂ICP备19020893号-2