ImageVerifierCode 换一换
格式:DOCX , 页数:13 ,大小:791.56KB ,
资源ID:14699287      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bingdoc.com/d-14699287.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(最新太原理工大学eda课程设计交通灯控制器.docx)为本站会员(b****5)主动上传,冰点文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰点文库(发送邮件至service@bingdoc.com或直接QQ联系客服),我们立即给予删除!

最新太原理工大学eda课程设计交通灯控制器.docx

1、最新太原理工大学eda课程设计交通灯控制器本科实验报告课程名称: CPLD/FPGA应用设计 课设题目: 交通灯控制器 交通灯控制器一、设计要求设计一个由一条主干道和一条支干道的十字路口的交通灯控制器,具体要求如下:(1) 主、支干道各设有一个绿、黄、红指示灯,两个显示数码管。(2) 主干道处于常允许通行状态,而支干道有车来才允许通行。当主干道允许通行亮绿灯时,支干道亮红灯。而支干道允许通行亮绿灯时,主干道亮红灯。 (3) 当主、支道均有车时,两者交替允许通行,主干道每次放行45 s,支干道每次放行25 s,由亮绿灯变成亮红灯转换时,先亮5 s的黄灯作为过渡,并进行减计时显示。二、设计方案1基

2、本原理(1) 设置支干道有车开关SB。(2) 系统中要求有45秒、25秒和5秒三种定时信号,需要设计三种相应的计时显示电路。计时方法为倒计时。定时的起始信号由主控电路给出,定时时间结束的信号输入到主控电路。(3) 主控制电路的输入信号一方面来自车辆检测,另一方面来自45秒、25秒、5秒的定时到信号;输出有计时启动信号(置计数起始值)和红绿灯驱动信号。(4) 状态转移如图所示,用状态机描述。状态转移图(5) 模块结构模块结构图2设计框图交通灯控制器原理框图如下图所示,包括置数模块、计数模块、主控制器模块和译码器模块。置数模块将交通灯的点亮时间预置到置数电路中,计数模块以秒为单位倒计时,当计数值减

3、为零时,主控电路改变输出状态,电路进入下一个状态的倒计时。其中,核心部分是主控制模块。三、实验设备计算机一台操作系统:WINDOWS XP软件: ispDesignEXPERT System 硬件: 1016E开发板四、设计步骤1打开ispEXPERT软件,建立一个新的工程JTD 单击菜单FileNew Project, 输入工程路径,工程名2建立VHDL文件 单击FileNew菜单项,选择VHDL File选项,单击OK按钮以建立VHDL文件,分别建立主控制器模块程序的vhd文件JTDKZ.vhd、计数器模块程序的vhd文件jsq.vhd、七段译码器程序的vhd文件yima7.vhd和主程序

4、的vhd文件zhu.vhd。a)主控制器模块LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY JTDKZ IS PORT(CLK,SB,cnt,RST:IN STD_LOGIC; en,MR,MY,MG,BR,BY,BG: OUT STD_LOGIC; din:out STD_LOGIC_vector(7 downto 0);END ENTITY JTDKZ;ARCHITECTURE ART OF JTDKZ IS TYPE STATE_TYPE IS(A,B,C,D); SIGNAL p_STATE,n_state: STATE_TYPE; BE

5、GIN reg:PROCESS(CLK,rst) IS BEGIN if rst=1 then p_STATE=A; ELSIF(CLKEVENT AND CLK=1)THEN p_STATEMR=1; MY=1; MG=0; BR=0; BY=1; BG=1; IF(SB AND cnt)=1 THEN n_STATE=B; din=00000101; EN=0; ELSE n_STATE=A; din=01000101; ENMR=1; MY=0; MG=1; BR=0; BY=1; BG=1; IF cnt=1 THEN n_STATE=C; din=00100101; EN=0; EL

6、SE n_STATE=B; din=01000101; ENMR=0; MY=1; MG=1; BR=1; BY=1; BG=0; IF cnt=1 THEN n_STATE=D; din=00000101; EN=0; ELSE n_STATE=C; din=01000101; ENMR=0; MY=1; MG=1; BR=1; BY=0; BG=1; IF cnt=1 THEN n_STATE=A;din=01000101; EN=0; ELSE n_STATE=D; din=01000101; EN=1; END IF;END CASE;END PROCESS com;END ARCHI

7、TECTURE ART;b)计数器模块LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY jsq IS PORT(en, RST: IN STD_LOGIC; Din: IN STD_LOGIC_VECTOR(7 DOWNTO 0); CLK:IN STD_LOGIC; Cnt: OUT STD_LOGIC; QH, QL:BUFFER STD_LOGIC_VECTOR(3 DOWNTO 0) ); END ENTITY jsq;ARCHITECTURE ART OF jsq ISBE

8、GINcnt=1 WHEN (QH=0000 AND QL=0000) ELSE 0; PROCESS(CLK,en,RST) BEGINIF RST=1 THEN QH=0100;QL=0101;ELSIF CLKEVENT AND CLK=1 THEN IF en=0 THEN QH=Din(7 DOWNTO 4); QL=Din(3 DOWNTO 0);elsIF QL=0 THEN QL=1001; IF QH=0 THEN QH=1001; ELSE QH=QH-1; END IF; ELSE QL LED7S LED7S LED7S LED7S LED7S LED7S LED7S

9、LED7S LED7S LED7S LED7S = 1111111 ; END CASE ; END PROCESS ; END ;d)顶层链接文件LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY JTD IS PORT(CLK,SB,RST:IN STD_LOGIC; LED1,LED2,LED3,LED4,LED5,LED6:OUT STD_LOGIC; SEG1:OUT STD_LOGIC_VECTOR(6 DOWNTO 0); SEG2:OUT STD_LOGIC_VECTOR(6 DOWNTO 0) ); END JTD;ARCHITEC

10、TURE BEHAVIORAL OF JTD IS SIGNAL E:STD_LOGIC; SIGNAL CN:STD_LOGIC; SIGNAL DI:STD_LOGIC_VECTOR(7 DOWNTO 0); SIGNAL YIMA1,YIMA2:STD_LOGIC_VECTOR(3 DOWNTO 0);COMPONENT JTDKZ IS PORT(CLK,SB,cnt,RST: IN STD_LOGIC; en,MR,MY,MG,BR,BY,BG: OUT STD_LOGIC; din:out STD_LOGIC_vector(7 downto 0) ); END COMPONENT;

11、COMPONENT JSQ IS PORT(en, RST: IN STD_LOGIC; Din: IN STD_LOGIC_VECTOR(7 DOWNTO 0); CLK:IN STD_LOGIC; Cnt: OUT STD_LOGIC; QH, QL:BUFFER STD_LOGIC_VECTOR(3 DOWNTO 0) ); END COMPONENT;COMPONENT YIMA7 IS PORT ( A : IN STD_LOGIC_VECTOR(3 DOWNTO 0) ; LED7S : OUT STD_LOGIC_VECTOR(6 DOWNTO 0) ); END COMPONE

12、NT;BEGIN U0:JTDKZ PORT MAP(CLK,SB,CN,RST,E,LED1,LED2,LED3,LED4,LED5,LED6,DI); U1:JSQ PORT MAP(E,RST,DI,CLK,CN,YIMA1,YIMA2);而手工艺制品是一种价格适中,不仅能锻炼同学们的动手能力,同时在制作过程中也能体会一下我国传统工艺的文化。无论是送给朋友还是亲人都能让人体会到一份浓厚的情谊。它的价值是不用金钱去估价而是用你一颗真诚而又温暖的心去体会的。更能让学生家长所接受。 U2:YIMA7 PORT MAP(YIMA1,SEG1);(3)个性体现 U3:YIMA7 PORT MAP(

13、YIMA2,SEG2);服饰 学习用品 食品 休闲娱乐 小饰品END BEHAVIORAL;图1-1大学生月生活费分布3调试程序8-4情境因素与消费者行为 2004年3月20日4引脚锁定十字绣 编制类 银饰制品类 串珠首饰类2003年,全年商品消费价格总水平比上年上升1%。消费品市场销售平稳增长。全年完成社会消费品零售总额2220.64亿元,比上年增长9.1%。5器件下载6、波形仿真二、资料网址:据调查统计在对大学生进行店铺经营风格所考虑的因素问题调查中,发现有50%人选择了价格便宜些,有28%人选择服务热情些,有30%人选择店面装潢有个性,只有14%人选择新颖多样。如图(1-5)所示7、硬件调试(1)价格低五、设计结果与分析1、RST为复位信号,当RST=0时,显示器终止原来的计数变成00,RST=1时正常计数。2、当SB=0时支路没车,主路一直有车通过,实验板上显示器一直从0到45秒变化。 当SB=1时,主,支干道均有车,两者交替允许通行,主干道每次放行45s,支干道每次放行25s,由亮绿灯变成亮红灯转换时,先亮5s的黄灯作为过渡,并进行减时显示。六、设计总结

copyright@ 2008-2023 冰点文库 网站版权所有

经营许可证编号:鄂ICP备19020893号-2