ImageVerifierCode 换一换
格式:DOCX , 页数:14 ,大小:1.49MB ,
资源ID:15365165      下载积分:5 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bingdoc.com/d-15365165.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(工业大学数字电子技术基础实验报告.docx)为本站会员(b****7)主动上传,冰点文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰点文库(发送邮件至service@bingdoc.com或直接QQ联系客服),我们立即给予删除!

工业大学数字电子技术基础实验报告.docx

1、工业大学数字电子技术基础实验报告数字电子技术基础第四次实验报告一、 描述QuartusII软件基本使用步骤1.用文本编辑器正确编写源文件(本例run.v),并经modelsim仿真确认该电路设计正确.2.打开QuartusII软件,新建工程New project (注意工程名和设计文件的module名保持一致),选择和开发板一致的FPGA器件型号。(本课程为Cyclone IV E系列EP4CE115F29C7)3.添加文件,点击file-open,之后选择要添加的文件,并勾选Add file to current project.4.编译,Start Compilation ,编译源文件 (

2、如有错误修改后,重新编译)。5. 查看电路结构,使用Tool-RTL viewer工具查看电路图结构,是否和预期设计一致。6.管脚绑定,使用Assignment-pin planner将设计的全部输入/输出接口与开发板的对应管脚进行一一对应。PIN_Y2 -to clkPIN_H19 -to out7PIN_J19 -to out6PIN_E18 -to out5PIN_F18 -to out4PIN_F21 -to out3PIN_E19 -to out2PIN_F19 -to out1PIN_G19 -to out0PIN_M23 -to rst7. Processing-Start Co

3、mpilation,全编译生成可下载文件。(.sof)8. 连接开发板,安装所需驱动程序(在设备管理器中,选择路径为quatus安装路径)9. 点击start开始烧录,完成后开发板上出现流水灯。二、 题目代码以及波形1.跑马灯设计及FPGA实现编写模块源码module run (clk,rst,out);input clk,rst;output 7:0 out; reg 7:0 out; reg 24:0 count; always ( posedge clk or negedge rst ) if(!rst) begin count=16b0; end else begin count=co

4、unt+1; end always ( posedge clk or negedge rst) if(!rst) begin out=8hff; end else begin case ( count24:21 ) 0: out=8b1111_1110; 1: out=8b1111_1101; 2: out=8b1111_1011; 3: out=8b1111_0111; 4: out=8b1110_1111; 5: out=8b1101_1111; 6: out=8b1011_1111; 7: out=8b0111_1111; 8: out=8b1011_1111; 9: out=8b110

5、1_1111; 10:out=8b1110_1111; 11:out=8b1111_0111; 12:out=8b1111_1011; 13:out=8b1111_1101; 14:out=8b1111_1110; 15:out=8b1111_1111; endcase end endmodule 测试模块timescale 1ns/1psmodule tb_run;reg clk_test;reg rst_test;wire 7:0out_test;initialclk_test=0;always #1 clk_test=clk_test;initialbeginrst_test=1;#1r

6、st_test=0;#1rst_test=1;#180rst_test=0;#1rst_test=1;endrun UUT_run(.clk(clk_test),.rst(rst_test),.out(out_test);endmodule仿真后的波形截图综合后的RTL图形1.有限状态机设计(教材Figure 6.86)编写模块源码module sequence (Clock,Resetn,w,z); input Clock,Resetn,w; output z; reg 3:1y,Y; parameter 3:1A=3b000,B=3b001,C=3b010,D=3b011,E=3b100;

7、 always(w,y) case(y) A:if(w) Y=D; else Y=B; B:if(w) Y=D; else Y=C; C:if(w) Y=D; else Y=C; D:if(w) Y=E; else Y=B; E:if(w) Y=E; else Y=B; default: Y=3bxxx; endcase always(negedge Resetn,posedge Clock) if(Resetn=0) y=A; else y=Y; assign z=(y=C)|(y=E);endmodule测试模块timescale 1ns/1psmodule tb_sequence;reg

8、 Clock_test,Resetn_test,w_test;wire z_test;initialbeginClock_test=0;Resetn_test=0;w_test=1;endalways #10 Clock_test=Clock_test;initialbegin#10Resetn_test=1;w_test=1;#10w_test=0;#20w_test=0;#20w_test=0;#20w_test=1;#20w_test=1;#20w_test=0;#20w_test=0;#20w_test=1;#20w_test=0;#20w_test=0;#20w_test=0;#20

9、w_test=1;#20w_test=1;#20w_test=0;#20w_test=0;endsequence UUT_sequence(.Clock(Clock_test),.Resetn(Resetn_test),.w(w_test),.z(z_test);endmodule仿真后的波形截图综合后的RTL图形三、 本次实验收获和心得通过本次试验真正接触了FPGA开发板并向板子上烤了文件,虽然题目较为简单,但是在完成的过程中遇到了不少问题,比如软件内部没有需要的开发板型号,自己通过搜索和下载,找到了相应的扩展包并成功添加进入高版本的quartus II软件当中,我的体会是,数字电路归根到底还是依靠硬件实现的,所以将代码的执行效果反映到硬件上是一个重要环节,应该不断练习,提高自己解决问题的能力;另外通过本次实验,我对有限状态机有了更加深入的了解。

copyright@ 2008-2023 冰点文库 网站版权所有

经营许可证编号:鄂ICP备19020893号-2