ImageVerifierCode 换一换
格式:DOCX , 页数:20 ,大小:266.62KB ,
资源ID:15559325      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bingdoc.com/d-15559325.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(EDA汽车尾灯控制课程设计报告.docx)为本站会员(b****7)主动上传,冰点文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰点文库(发送邮件至service@bingdoc.com或直接QQ联系客服),我们立即给予删除!

EDA汽车尾灯控制课程设计报告.docx

1、EDA汽车尾灯控制课程设计报告EDA技术应用课程设计报告专 业: 电子信息工程 班 级: 1413082 姓 名: 指导教师: 年 月 日目 录1引 言 11.1 设计的目的 11.2 设计的基本内容 12 EDA、VHDL简介 12.1 EDA技术 12.2 硬件描述语言(VHDL) 23汽车尾灯控制器的设计过程 33.1系统需求分析 33.2汽车尾灯控制器的工作原理 33.3各组成模块原理及程序 44系统仿真 94.1分频模块仿真及分析 94.2汽车尾灯主控模块仿真及分析 104.3左边灯控制模块仿真及分析 114.4右边灯控制模块仿真及分析 124.5整个系统仿真及分析 13结束语 15

2、指导老师意见 16参考书目 161引 言随着人们生活水平的提高,汽车的消费量越来越大。因为人们也越来越忙,不管是夜晚还是阴雨、大雾等天气原因的影响,人们都开着车在纵横交错的马路上行驶。为了提高人们因夜晚或因天气原因在纵横交错的马路上驾驶的安全系数,也是为了减少交通事故的发生。我们采用了先进的EDA技术,Quartus 工作平台和VHDL语言,设计了一种基于FPGA的汽车尾灯控制系统,并对系统进行了仿真机验证。这一控制电路,结构简单、性能稳定、操作方便、抗干扰能力强。将它应用于现代汽车,不受黑夜或大雾、阴雨天气因素的影响,可以提高安全行驶,避免交通事故的发生。真正的让消费者驾驶汽车的方便和安全。

3、1.1 设计的目的其一、设计一个能适应现代汽车智能化发展要求的汽车尾灯控制电路。改善以前的汽车尾灯控制系统,降低汽车尾灯控制器的生产成本。其二、学好VHDL这门硬件描述语言,加深对VHDL语言知识的理解和掌握,提高学习能力和创新能力,使自己适应不断发展的21世纪。1.2 设计的基本内容根据计算机中状态机原理,利用VHDL设计汽车尾灯控制器的各个模块,并使用EDA 工具对各模块进行仿真验证。汽车尾灯控制器的设计分为4个模块:时钟分频模块、汽车尾灯主控模块,左边灯控制模块和右边灯控制模块。把各个模块整合后就形成了汽车尾灯控制器。通过输入系统时钟信号和相关的汽车控制信号,汽车尾灯将正确显示当前汽车的

4、控制状态。2 EDA、VHDL简介2.1 EDA技术EDA技术的概念EDA是电子设计自动化(Electronic Design Automation)的缩写,在20世纪90年代初从计算机辅助设计(CAD)、计算机辅助制造(CAM)、计算机辅助测试(CAT)和计算机辅助工程(CAE)的概念发展而来的。EDA技术就是以计算机为工具,设计者在EDA软件平台上,用硬件描述语言HDL完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。EDA技术的特点利用EDA技术进行电子系统的设计,具有以下几个特点: 用软件的

5、方式设计硬件; 用软件方式设计的系统到硬件系统的转换是由有关的开发软件自动完成的; 设计过程中可用有关软件进行各种仿真; 系统可现场编程,在线升级; 整个系统可集成在一个芯片上,体积小、功耗低、可靠性高。因此,EDA技术是现代电子设计的发展趋势。EDA设计流程典型的EDA设计流程如下:1、文本/原理图编辑与修改。首先利用EDA工具的文本或图形编辑器将设计者的设计意图用文本或图形方式表达出来。 2、编译。完成设计描述后即可通过编译器进行排错编译,变成特定的文本格式,为下一步的综合做准备。 3、 综合。将软件设计与硬件的可实现性挂钩,是将软件转化为硬件电路的关键步骤。4、 行为仿真和功能仿真。利用

6、产生的网表文件进行功能仿真,以便了解设计描述与设计意图的一致性。5、适配。利用FPGA/CPLD布局布线适配器将综合后的网表文件针对某一具体的目标器件进行逻辑映射操作,其中包括底层器件配置、逻辑分割、逻辑优化、布局布线。适配报告指明了芯片内资源的分配与利用、引脚锁定、设计的布尔方程描述情况。 6、 功能仿真和时序仿真。7、 下载。如果以上的所有过程都没有发现问题,就可以将适配器产生的下载文件通过FPGA/CPLD下载电缆载入目标芯片中。 8、 硬件仿真与测试。2.2 硬件描述语言(VHDL)VHDL简介VHDL(Very-High-Speed Integrated Circuit Hardwa

7、re Description Language)主要用于描述数字系统的结构,行为,功能和接口。除了含有许多具有硬件特征的语句外,VHDL的语言形式和描述风格与句法是十分类似于一般的计算机高级语言。VHDL的程序结构特点是将一项工程设计,或称设计实体(可以是一个元件,一个电路模块或一个系统)分成外部(或称可是部分,及端口)和内部(或称不可视部分),既涉及实体的内部功能和算法完成部分。在对一个设计实体定义了外部界面后,一旦其内部开发完成后,其他的设计就可以直接调用这个实体。这种将设计实体分成内外部分的概念是VHDL系统设计的基本点。VHDL语言的特点1.用VHDL代码而不是用原理图进行设计,意味着

8、整个电路板的模型及性能可用计算机模拟进行验证。2.VHDL元件的设计与工艺无关,与工艺独立,方便工艺转换。3.VHDL支持各种设计方法,自顶向下、自底向上或者混合的都可以。4.可以进行从系统级到逻辑级的描述,即混合描述。5.VHDL区别于其他的HDL,已形成标准,其代码在不同的系统中可交换建模。3汽车尾灯控制器的设计过程3.1系统需求分析 根据现代交通规则,汽车尾灯控制器应满足以下基本要求:1. 汽车正常使用是指示灯不亮2. 汽车右转时,右侧的一盏灯亮3. 汽车左转时,左侧的一盏灯亮4. 汽车刹车时,左右两侧的指示灯同时亮5. 汽车夜间行驶时,左右两侧的指示灯同时一直亮,供照明使用3.2汽车尾

9、灯控制器的工作原理汽车尾灯控制器就是一个状态机的实例。当汽车正常行驶时所有指示灯都不亮;当汽车向右转弯时,汽车右侧的指示灯RD1亮;当汽车向左侧转弯时,汽车左侧的指示灯LD1亮;当汽车刹车时,汽车右侧的指示灯RD2和汽车左侧的指示灯LD2同时亮;当汽车在夜间行驶时,汽车右侧的指示灯RD3和汽车左侧的指示灯LD3同时一直亮。通过设置系统的输入信号:系统时钟信号CLK,汽车左转弯控制信号LEFT,汽车右转弯控制信号RIGHT,刹车信号BRAKE,夜间行驶信号NIGHT和系统的输出信号:汽车左侧3盏指示灯LD1、LD2、LD3和汽车右侧3盏指示灯RD1、RD2、RD3实现以上功能。系统的整体组装设计

10、原理如图3.1(a)以及系统设计整体框图如图3.1(b)所示。图3.1(a)系统的整体组装设计原理 图3.1(b)3.3各组成模块原理及程序 汽车尾灯控制器有4个模块组成,分别为:时钟分频模块、汽车尾灯主控模块,左边灯控制模块和右边灯控制模块,以下介绍各模块的详细设计。时钟分频模块整个时钟分频模块的工作框图如图3.2。 图3.2时钟分频模块工作框图时钟分频模块由VHDL程序来实现,下面是其中的一段VHDL代码:ARCHITECTURE ART OF SZ ISSIGNAL COUNT:STD_LOGIC_VECTOR(7 DOWNTO 0);BEGINPROCESS(CLK) BEGIN IF

11、 CLKEVENT AND CLK = 1THEN COUNT = COUNT + 1; END IF; END PROCESS; CP= COUNT(3);END ART;汽车尾灯主控模块汽车尾灯主控模块工作框图如图3.3(a)所示以及工作原理框图3.3(b)。 图3.3 (a)主控模块工作框图图3.3 (b)主控模块工作原理框图数据入口:RIGHT:右转信号;LEFT:左转信号;BRAKE:刹车信号;NIGHT:夜间行驶信号;数据出口:LP:左侧灯控制信号;RP:右侧灯控制信号;LR:错误控制信号;BRAKE_LED:刹车控制信号;NIGHT_LED:夜间行驶控制信号;汽车尾灯主控模块由V

12、HDL程序来实现,下面是其中的一段VHDL代码:ARCHITECTURE ART OF CTRL ISBEGIN NIGHT_LED=NIGHT; BRAKE_LEDLP=0;RP=0;LRLP=0;RP=1;LRLP=1;RP=0;LRLP=0;RP=0;LR=1; END CASE; END PROCESS;END ART;左边灯控制模块左边灯控制模块的工作框图如图3.4(a)所示以及工作原理框图3.4(b)。图3.4(a)左边灯控制模块的工作框图图3.4(b)左边灯控制模块的工作原理框图数据入口:CLK:时钟控制信号;LP:左侧灯控制信号;LR:错误控制信号;BRAKE:刹车控制信号;N

13、IGHT:夜间行驶控制信号;数据入口:LEDL:左侧LD1灯控制信号;LEDL:左侧LD2灯控制信号;LEDN:左侧LD3灯控制信号;左边灯控制模块由VHDL程序来实现,下面是其中的一段VHDL代码:ARCHITECTURE ART OF LC ISBEGIN LEDB=BRAKE; LEDN=NIGHT;PROCESS(CLK,LP,LR)BEGIN IF CLKEVENT AND CLK = 1 THEN IF(LR =0)THEN IF(LP = 0)THEN LEDL=0; ELSE LEDL=1; END IF; ELSE LEDL =0; END IF; END IF;END PR

14、OCESS;END ART;右边灯控制模块的工作框图如图3.5(a)所示以及工作原理框图3.5(b)。图3.5 (a)右边灯控制模块的工作框图图3.5 (b)右边灯控制模块的工作原理框图数据入口:CLK:时钟控制信号;RP:右侧灯控制信号;LR:错误控制信号;BRAKE:刹车控制信号;NIGHT:夜间行驶控制信号;数据出口:LEDR:右侧RD1灯控制信号;LEDB:右侧RD2灯控制信号;LEDN:右侧RD3灯控制信号;右边灯控制模块由VHDL程序来实现,下面是其中的一段VHDL代码:ARCHITECTURE ART OF RC ISBEGIN LEDB=BRAKE; LEDN=NIGHT; P

15、ROCESS(CLK,RP,LR) BEGIN IF CLKEVENT AND CLK = 1 THEN IF(LR = 0)THEN IF(RP = 0)THEN LEDR =0; ELSE LEDR = 1; END IF; ELSE LEDR =0; END IF; END IF; END PROCESS;END ART;4系统仿真4.1分频模块仿真及分析分频模块由VHDL程序实现后,其功能仿真如图4.1(a)所示已经时序仿真仿真图如图4.1(b)所示。图4.1 (a)分频模块功能仿真图图4.1 (b)分频模块时序仿真图对其仿真图进行仿真分析:如图所示,首先生成一个600ns的时钟脉冲,

16、通过时钟分频把600ns的脉冲分成一个40ns的脉冲,实现了信号同步。4.2汽车尾灯主控模块仿真及分析汽车尾灯主控模块由VHDL程序实现后,其功能仿真图如图4.2(a)所示以及时序仿真如图4.2(b)所示。图4.2(a)主控模块功能仿真图图4.2(b)主控模块时序仿真图对时序仿真图进行分析:RIGHT,LEFT,NIGHT,BRAKE 为输入信号,RIGHT为1表示右转,LEFT为1表示左转,NIGHT为1表示夜间行路,BRAKE为1表示刹车。RP,LP,NIGHT_LED,BRAKE_LED为输出信号。如图所示:当RIGHT为1时,产生一个RP为1的信号脉冲输出,当LEFT为1时,产生一个L

17、P为1的信号脉冲输出,当NIGHT为1时,产生一个NIGHT_LED为1的信号脉冲输出。当BRAKE为1时,产生一个BRAKE_LED为1的信号脉冲输出。4.3左边灯控制模块仿真及分析左边灯控制模块由VHDL程序实现后,其功能仿真图如图4.3(a)所示以及时序仿真如图4.3(b)所示。图4.3(a) 左边灯控制模块功能仿真图图4.3(b)左边灯控制模块时序仿真图对时序仿真图进行分析:LP,LR,NIGHT,BRAKE 为输入信号,LP为1表示左转,LR为1表示右转,NIGHT为1表示夜间行路,BRAKE为1表示刹车。LEDL,LEDB,LEDN为输出信号,表示汽车左侧的三盏灯。如图所示:当LP

18、为1时,LEDL输出为1表示左侧灯亮,当BRAKE为1时,LEDB输出为1表示左侧灯亮,当NIGHT为1时,LEDN输出为1表示左侧灯亮。当LR为1时,左侧三盏灯输出均为0。即没有灯亮。4.4右边灯控制模块仿真及分析右边灯控制模块由VHDL程序实现后,其功能仿真图如图4.4(a)所示以及时序仿真如图4.4(b)所示。图4.4(a)右边灯控制模块功能仿真图图4.4(b)右边灯控制模块时序仿真图对时序仿真图进行分析:RP,LR,NIGHT,BRAKE 为输入信号,LR为1表示左转,RP为1表示右转,NIGHT为1表示夜间行路,BRAKE为1表示刹车。LEDR,LEDB,LEDN为输出信号,表示汽车

19、右侧的三盏灯。如图所示:当RP为1时,LEDR输出为1表示右侧灯亮,当BRAKE为1时,LEDB输出为1表示右侧灯亮,当NIGHT为1时,LEDN输出为1表示右侧灯亮。当LR为1时,右侧三盏灯输出均为0。即没有灯亮。4.5整个系统仿真及分析按图3.1组装系统后的功能仿真如图4.5(a)所示以及时序仿真如图4.5(b)所示。图4.5(a)整个系统功能仿真图图4.5(b)整个系统时序仿真图对时序仿真图进行分析:RIGHT,LEFT,NIGHT,BRAKE 为输入信号,RIGHT为1表示右转,LEFT为1表示左转,NIGHT为1表示夜间行路,BRAKE为1表示刹车。RD1,RD2,RD3为输出信号,

20、表示汽车右侧的三盏灯。LD1,LD2,LD3为输出信号,表示汽车左侧的三盏灯。如图所示:当RIGHT为1时,RD1输出为1表示右侧灯亮,当LEFT为1时,LD1为输出为1表示左侧灯亮,当NIGHT为1时,LD2,RD2输出均为1,表示左,右两侧各有一盏灯亮。当BRAKE为1时,LD3,RD3输出均为1,表示左,右两侧各有一盏灯亮。各个模块的功能:1.时钟分频模块功能:这块的功能是对左右两边的LLED1、RLED1的闪烁时间间隔,以CLK为输入信号, CP为输出信号,在程序中定义一个八位节点信号COUNT来放计数值,当CLK的上升沿到来时就开始计数,最后将COUNT(3)给CP,实现对CLK的八

21、分频。再将CP的电平信号分别和LEDL、LEDR电平与,最后用输出的电平来控制汽车左右的LLED1、RLED1,实现左右转的指示功能。2.汽车尾灯主控模块功能:该段程序用于对汽车尾灯进行整体控制,当输入为左转信号时,输出左侧灯控制信号;当输入为右转信号时,输出右侧灯控制信号;当同时输入LEFT和RIGHT信号时,输出错误控制信号。当输入为刹车信号时,输出刹车控制信号;当输入为夜间行驶信号时,输出为夜间行驶控制信号。3.左边灯控制模块作用:本程序用于控制左侧灯的亮、灭和闪烁情况,当时钟上升沿信号和左侧灯控制信号或刹车控制信号或夜间行驶信号同时出现时,左侧相应的灯亮或出现闪烁。当错误控制信号出现时

22、,LD1灯不亮。4. 右边灯控制模块作用:本描述用于控制右侧灯的亮、灭和闪烁情况,当时钟上升沿信号和右侧灯控制信号或刹车控制信号或夜间行驶信号同时出现时,右侧相应的灯亮或出现闪烁。当错误控制信号出现时,RD1灯不亮。拼脚引用:输入:开关引脚BRAKESW132LEFTSW233RIGHTSW336BIGHTSW437输出:LEFTRIGHTLD1LD2LD3RD1RD2RD3D112D111D110D103D102D101313029201918实验现象:1.汽车尾部左右两侧各有多盏指示灯。2.汽车正常行驶时指示灯都不亮。3.汽车右转弯时,右侧的一盏指示灯亮。4.汽车左转弯时,左侧的一盏指示灯亮。5.汽车刹车时,左右两侧的一盏指示灯同时亮。6.汽车在夜间行驶时,左右两侧有指示灯同时一直亮,供照明使用。参考书目1 黄正瑾,系统编程技术及其应用,南京,东南大学出版社,1997年2 潘松,黄继业,EDA实用教程,北京,科学出版社,2002年3 郑家龙,王小海,集成电子技术基础教程,北京,高等教育出版社,2002年4 卢杰,赖毅,VHDL与数字电路设计,北京,科学出版社,2001年5 张明,Verilog HDL 实用教程,成都,电子科技大学出版社,1999年

copyright@ 2008-2023 冰点文库 网站版权所有

经营许可证编号:鄂ICP备19020893号-2