ImageVerifierCode 换一换
格式:DOCX , 页数:18 ,大小:19.19KB ,
资源ID:1692481      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bingdoc.com/d-1692481.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(模电实验设计增益切换电路.docx)为本站会员(b****1)主动上传,冰点文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰点文库(发送邮件至service@bingdoc.com或直接QQ联系客服),我们立即给予删除!

模电实验设计增益切换电路.docx

1、模电实验设计增益切换电路实验二 增益自动切换电压放大电路的设计一、实验内容及要求设计一个电压放大电路, 能够依据输入信号幅值自动切换调整增益。设输入信号频次为 020KHz,其幅值范围为 10V(峰峰值 Upp)。电路应实现的功能与技术指标以下:基本要求当输入为直流信号时,要求设计的电路达到以下要求:当Ui 时,电路的增益约为 10 倍。当Ui 3V 时,电路的增益约为 1 倍。当3Ui 10V 时,电路的增益约为倍。提升要求当输入为沟通信号时, 依据输入电压的峰峰值大小, 电路增益仍知足基本要求。发挥部分对输入电压值分档再细化;增益值的显示。剖析项目的功能与性能指标:设计一个增益自动切换电压

2、放大电路。 电路应实现的功能与技术指标以下:基本要求当输入为直流信号时,要求设计的电路达到以下要求:当Ui 时,电路的增益约为 10 倍。当Ui 3V 时,电路的增益约为 1 倍。当3Ui 10V 时,电路的增益约为倍。提升要求当输入为沟通信号时, 依据输入电压的峰峰值大小, 电路增益仍知足基本要求。二、电路设计电路设计思想(请写明基本要求、提升要求、发挥部分):基本要求部分电路工作原理将直流信号加到拥有不一样基准电压的比较电路输入端进行分档比较,对应某一输入电压值, 只有相应的一个比较电路输出为高电平 (或低电平),其他比较器输出为低电平(或高电平)。依据比较器的输出状态,经过模拟开关的控制

3、,选择相应的增益。提升部分电路工作原理依据题目的提升部分的要求, 沟通信号幅值取样电路能够采纳整流滤波取均匀值的方法。 而后将沟通信号幅值与参照电压比较, 获取比较器的输出电平,经过模拟开关选择增益电路。电路构造框图(请写明基本要求、提升要求、发挥部分):1.基本要求:输入电压 比较器 模拟开关 增益选择电路 输出电压提升部分输入电压 比较器 模拟开关 增益选择电路 输出电压整流电路电路原理图(请写明基本要求、提升要求、发挥部分):1.基本要求2.提升要求系统工作原理(请写明基本要求、提升要求、发挥部分):1.基本要求如原理图所示,运放 A1、A2 作为电压比较器。它们的反相输入端分别接基准电

4、压 U REF 1 和 U REF 2,这两个基准电压可由 R 1 及 R 2 、 R 3 构成的分压器获取。输入信号 Ui同时加在 A1、A2 的同相输入端, Ui 与U REF 1和U REF 2进行比较,决定它们的输出UA1 、A 2 是高电平仍是低电平。 用UA1 、UUA 2 去信号控制模拟开关的工作状态,切换反应电阻即可实现增益的自动变换。受模拟开关的控制,电阻 R 4 -R 7 与运放 A3 构成增益可控的反相放大电路。模拟开关 CD4052的状态受电压比较器 A1、A2 的控制,反相放大器 A3 的反应电阻可切换为 R 5 、 R 6 或 R 7 ,则放大电路的增益分别为R 5

5、、R 6和R 7。R4R4R42.提升要求在基本要求的基础上增添整流电路。 整流电路由运放、 二极管和电容电阻构成。当输入信号时,二极管导通,电容充电,在当输入电压达到峰值时,电容停止充电, 将电容两头的电压送到比较器,经过比较器输出信号控制模拟开关。参数计算和元器件选择说明 (请写明基本要求、提升要求、发挥部分):1.基本要求:增益切换电路采纳反相输入。R5100kAu10R410kR610 kAu1R410 kR71kAu0.1R410k2.提升要求:整流电路需要用二极管和电阻、 电容构成。为了使得电容两头的电压比较长久,选用电容的容值较大,同时也选择电阻的阻值较大。列出系统需要的元器件清

6、单(请设计表格列出)(请写明基本要求、提升要求、发挥部分):基本要求:分压电阻电阻:运放5k10kuA7411k10k10k1k提升要求:分压电阻和电阻同样。二极管电容电阻运放40811MuA741电路的仿真结果(请写明基本要求、提升要求、发挥部分):基本要求:输入电压 Ui / V 输出电压 Uo/ V 增益 Au 理论值1010101 11158提升要求:输入 电压峰峰 输出电压峰峰 增益 Au理论值值 Ui / V值 Uo/ V1010101211469三、硬件电路功能与指标,测试数据与偏差剖析硬件实物图(照片形式):拟订实验丈量方案:基本要求:依据电路图搭建电路, 用稳压源输出电压分压

7、获取输入端的不一样输入电压,用示波器的 CH1 和 CH2 通道显示输入端和输出端的电压值,记录数据。提升要求:依据电路图搭建电路, 从函数发生器产生峰峰值不一样的输入电压, 用示波器的 CH1 和 CH2 通道显示输入端和输出端的电压波形,读出输入端和输出正直弦波形的的峰峰值,记录数据。使用的主要仪器和仪表:稳压源、示波器、函数发生器调试电路的方法和技巧:在调试过程中, 应该先检查电路的搭建能否正确, 能否有线路搭建错误的状况,在保证电路连结无误后,从输入端输入直流信号,从输出端读出输出信号,察看两个信号的大小能否知足题目设计要求的比例。而后改变输入信号的大小,持续丈量,保证三个区段的直流信

8、号都知足设计要求。 在调试完直流信号正确后, 经过函数发生器输入沟通信号,经过示波器 CH1、CH2通道显示输入输出波形,对照波形的形状和大小,察看能否知足设计要求。假如正确,则改变输入信号的峰峰值从头进行察看, 最后检测能否三个区段的沟通信号也知足设计要求。测试的数据和波形并与设计结果比较剖析:基本要求:输入电压 Ui / V 输出电压 Uo/ V 增益 Au 理论值1010101 11158剖析:直流部分,从输入端输入直流信号, 从输出端输出的直流信号在三个不一样的区段内,输出的电压同输入电压对照,知足设计要求:当 Ui 时,电路的增益约为 10 倍。当Ui 3V 时,电路的增益约为 1

9、倍。当 3Ui 10V 时,电路的增益约为倍。并且偏差较小。提升要求:当Ui 1V 时输入 电压峰峰 输出电压峰峰 增益 Au 理论值值 Ui / V 值 Uo/ V10CH1是输入信号, CH2是输出信号输入 电压峰峰 输出电压峰峰 增益 Au 理论值值 Ui / V 值 Uo/ V10当 1Ui 3V 时输入 电压峰峰 输出电压峰峰 增益Au理论值值 Ui / V值Uo/ V1当 3Ui 10V 时输入 电压峰峰 输出电压峰峰 增益Au理论值值 Ui / V值Uo/ V剖析:在输入端输入沟通信号时, 在输出端检测到沟通信号, 且在不一样的区段内,输入端的沟通正弦波的峰峰值和输入端的峰峰值知

10、足题目设计要求。在此设计中,将直流的改为了 0-1V。调试中出现的故障、原由及清除方法:在调试过程中出现的主要故障有两个:1.在输入端不论输入多大峰峰值信号的正弦波,输出端都是扩大 10倍输出波形,并且在输入信号的正弦波的峰峰值较大时,出现失真。出现这类现象的原由必定是没有实现增益的自动切换, 也就是说,无论输入端输入多大峰峰值的正弦波, 比较器两头输出的数字信号一直是00,因此增益老是 10.经过在输入峰峰值为 2V 正弦波,丈量比较器两头的输出发现输出为10,可是在对应的 4052 端的 2 通道选通进行排查时发现,将 4052双 4 选 2 的 X、Y 通道连结错误,从头连结后,电路正确

11、。2.在输出端没有波形。出现这类现象最有可能是某根导线开路。经过对元器件的端脚和导线两头的电压的检测, 找出了没有连结好的导线,从头连结,输入信号,在示波器上能够读出波形。四、总结论述设计中碰到的问题、原由剖析及解决方法:问题:在设计中碰到的问题有当输入沟通信号时, 怎样将沟通信号的峰峰值进行比较获取 4052 增益切换的数字信号。剖析:直流信号能够直接经过两个运放同参照电压比较获取比较的数字信号,而沟通正弦信号的峰峰值信号没法直接加在运放的同相输入端同参照电压比较, 一定将沟通正弦信号的峰峰值提拿出来, 则需要用到整流电路。这样才能进行比较。解决方法:查找相关整流滤波电路的资料和讨教老师。总

12、结设计电路和方案的优弊端:长处:自动增益切换电路的设计思路比较简单, 设计方案也比许多, 能够通过反相端输入设计, 也能够经过同相端输入设计。 所选的器件是常用器件,电路形式简短不复杂。 能够随输入电压的大小自动切换输出电压的增益。弊端:在设计电路中,由于 4052 模拟开关仍旧存在开关电阻,因此输出电压的增益也其实不是十分精准,存在着必定的偏差。指出课题的核心及适用价值,提出改良建议和展望:课题的核心及适用价值在于能够依据输入电压的大小自动切换增益,能够把小的轻微信号放大,过大的电压信号适合减小。在设计和调试中, 正弦信号的输入和输出在 3-10V 量程时,输出信号和理论值对比存在偏差较大,

13、 增益不是十分精准, 并且在每个增益端的临界电压地点,输出信号不稳固。能够经过调理电路的参数,改变电路设计过程中使用电阻电容的值, 进而使得系统更为稳固, 减小误差。实验的收获和领会:在实验中,从设计电路到调试电路, 每一步都是一个学习与对知识的稳固与加深的过程。设计时,需要在深刻理解运下班作特征的基础上,充足利用运放来设计增益自动切换电路。 当有了设计思路时, 同时用 MULTISM 仿真来第一考证自己的设计能否合理,在设计中碰到了问题经过与同学的沟通和向老师讨教来解决自己的疑惑。在实质的搭建电路与调试的过程则是一个耐心与仔细的过程。 在实验中,或许其实不可以够一次性地获取正确的结果,当出现错误时,要仔细剖析每一个模块,每一个器件,每一条导线能否连结错误,电路能否未加电源电压等。进而找犯错误的所在,并调试出正确的结果。五、参照文件电子电路基础刘京南编电子线路实践

copyright@ 2008-2023 冰点文库 网站版权所有

经营许可证编号:鄂ICP备19020893号-2