ImageVerifierCode 换一换
格式:DOCX , 页数:14 ,大小:110.63KB ,
资源ID:17249820      下载积分:1 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bingdoc.com/d-17249820.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(VHDL语言与EDA课程设计心得模版.docx)为本站会员(b****0)主动上传,冰点文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰点文库(发送邮件至service@bingdoc.com或直接QQ联系客服),我们立即给予删除!

VHDL语言与EDA课程设计心得模版.docx

1、VHDL语言与EDA课程设计心得模版湖南人文科技学院课程设计报告课程名称: VHDL语言与EDA课程设计设计题目: 出租车自动计价器设计 系 别: 专 业: 班 级: 学生姓名: 学 号: 起止日期: 2011年6月13日2011年6月26日指导教师: 教研室主任: 指导教师评语: 指导教师签名: 年 月 日成绩评定项 目权重成绩1、设计过程中出勤、学习态度等方面0.22、课程设计质量与答辩0.53、设计报告书写及图纸规范程度0.3总 成 绩 教研室审核意见:教研室主任签字: 年 月 日教学系审核意见: 主任签字: 年 月 日 摘 要随着我国社会经济的全面发展,各大中小城市的出租车营运事业发展

2、迅速,出租车已经成为人们日常出行选择较为普遍的交通工具。出租车计价器是出租车营运收费的专用智能化仪表,是出租车市场规范化、标准化以及减少司机与乘客之间发生纠纷的重要设备。一种功能完备、简单易用、计量准确的出租车计价器是加强出租车行业管理、提高服务质量的必备品。根据预定的设计要求和设计思路,我们使用VHDL硬件描述语言设计了一个实际的基于Altera FPGA芯片的出租车自动计价器系统,介绍了该系统的电路结构和程序设计。通过在QuartusII6.0软件中编译和下载测试,得到了仿真波形和关键的设计结果。经过在实验箱上进行硬件测试,证明该出租车计价系统具有实用出租车计价器的基本功能,如能进一步完善

3、,将可以实用化和市场化。关键词:出租车自动计价器 ;VHDL; FPGA ;QuartusII6.0 出租车自动计价器设计 设计要求设计一个出租车自动计费器,具有行车里程计费、等候时间计费、及起价三部分,用四位数码管显示总金额,最大值为99.99元,行车里程单价1元/公里,等候时间单价0.5元/10分钟,起价3元(3公里起价)。设计的主要技术指标如下:1) 计价范围: 099.99元 计价分辨率: 0.01元2) 计程范围:099公里 计程分辨率: 1公里3) 计时范围:059分 计时分辨率: 1分1、方案论证与对比 根据本课程设计要求,需要对出租车当行驶公里数和等待时间两种情况对应进行计数计

4、费,同时要求显示出对应行驶公里数、等待时间和出租车费用,我们想到了以下两种方案。1.1方案一 用分频器将外部时钟分为三路频率的信号,用来计时和计程,同时也供整个系统工作,通过设置使能信号,使系统自动对等待时间计时和对行驶公里数的计数,最后将所得结果分别在数码管上显示出来。图图 1 方案一设计结构图1.2方案二各部分主要功能包括:信号输入模块对车轮传感器传送的脉冲信号进行计数,并以高低脉冲模拟出租汽车启动、停止按钮,具有输入信号作用;数据转换模块将计费模块输出的车费和路程转换成十进制数据;译码动态扫描模块将路程与费用的数值译码后用动态扫描的方式驱动数码管5;数码管显示模块将公里数和计费金额显示出

5、来。图2 方案二设计结构图1.3两种方案的对比经分析,两种方案都要通过模块组合来实现出租车计价器的功能。方案一模块数量比方案二多一些,但思路简单,各模块程序也相对简单,因此较容易实现,适合我们初学EDA的编程设计。方案二模块较少,但单个模块功能要求较高,程序复杂。所以,我们选择方案一。2、实验步骤和设计过程2.1计程模块计程模块:根据提供的里程脉冲信号fin,计算乘客上车后出租车所行驶的里程数。fin每来一个上升沿,计程模块实现一次计数,里程数就加1。当行驶里程大于3Km时,本模块中en0信号变为1;进行行驶公里都计费。2.2等待计时模块等待计时模块:根据分频得到的时钟信号f_1,计算乘客的等

6、待累计时间。计时器的量程为59min,满量程归零。等待时间大于3min时,本模块中en1信号变为1;f_1每来一个上升沿,计量模块实现一次计数,等待时间加1。2.3计费模块计费模块:实现计价、计时和计程的数据计费。计量模块实现对于出租车在行驶和等待过程中的里程数和计时功能:计费时钟每来一个上升沿,计量模块实现一次计数,里程数或者等待时间加1。当行驶里程大于3Km时,本模块中en0信号变为1;进行的是行驶公里数大于3公里以每公里1.6元计费的操作;当等待时间大于2min时,本模块中en1信号变为1,进行的是等待时间大于2分钟以每分钟1.6元计费的操作;最后用cha3,cha2,cha1,cha0

7、来组成的4位数显示总费用,最大显示为999.9元。3、调试与操作说明3.1QuartusII中的VHDL程序根据设计要求我们写出了VHDL程序:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;entity taxi is -定义实体3port ( clk_256 :in std_logic;-频率为256Hz的时钟 start :in std_logic; -计价使能信号 stop:in std_logic; -等待信号 fin:in st

8、d_logic; -公里脉冲信号 cha3,cha2,cha1,cha0:out std_logic_vector(3 downto 0); -费用数据 km1,km0:out std_logic_vector(3 downto 0); -公里数据 min1,min0: out std_logic_vector(3 downto 0); -等待时间 end taxi;architecture behav of taxi issignal f_16,f16,f_1:std_logic; signal q_16:integer range 0 to 15; -分频器signal q16:integ

9、er range 0 to 15; -分频器signal q_1:integer range 0 to 255; -分频器signal w:integer range 0 to 59; -秒计数器 signal c3,c2,c1,c0:std_logic_vector(3 downto 0); -制费用计数器signal k1,k0:std_logic_vector(3 downto 0);-公里计数器signal m1:std_logic_vector(2 downto 0);-分的十位计数器 signal m0:std_logic_vector(3 downto 0);-分的个位计数器si

10、gnal en1,en0,f:std_logic; -使能信号 beginfenpin:process(clk_256,start) -分频器模块begin if clk_256event and clk_256=1 then if start=0 then q_16=0;q16=0;f_16=0;f16=0;f_1=0;f=0; else if q_16=15 then q_16=0;f_16=1; -此if语句得到频率为16Hz的信号 else q_16=q_16+1;f_16=0; end if; if q16=15 then q16=0;f16=1; -此if语句得到另一个频率为16H

11、z的信号 else q16=q16+1;f16=0; end if; if q_1=255 then q_1=0;f_1=1;-此if语句得到频率为1Hz的信号 else q_1=q_1+1;f_1=0; end if; if en1=1 then f=f_16; -此if语句得到计费脉冲f elsif en0=1 then f=f16; else f=0; end if; end if; end if;end process;process(f_1) -等待计时模块begin if f_1event and f_1=1 then if start=0 then w=0;en1=0;en0=0

12、;m1=000;m0=0000;k1=0000;k0=0000; elsif stop=1 then -等待计时模块 if w=59 then w=0; -此if语句完成等待计时 if m0=1001 then m0=0000; -此if语句完成分计数 if m1=101 then m1=000; else m1=m1+1; end if; else m00000001then en1=1; -此if语句得到en1使能信号 else en1=0; end if; else w=w+1;en1=0; end if; elsif fin=1 then -计程模块 if k0=1001 then k

13、0=0000; -此if语句完成公里脉冲计数 if k1=1001 then k1=0000; else k1=k1+1; end if; else k000000010 then en0=1; -此if语句得到en0使能信号 else en0=0; end if; else en1=0;en0=0; end if;cha3=c3;cha2=c2;cha1=c1;cha0=c0; -费用数据输出km1=k1;km0=k0;min1=0&m1;min0=m0; -公里数据、分钟数据输出 end if;end process;process(f,start) -计费模块2begin if star

14、t=0 then c3=0000;c2=0001;c1=0000;c0=0000; elsif fevent and f=1 then if c0=1001 then c0=0000; -此if语句完成对费用的计数 if c1=1001 then c1=0000; if c2=1001 then c2=0000; if c3=1001 then c3=0000; else c3=c3+1; end if; else c2=c2+1; end if; else c1=c1+1; end if; else c0=c0+1; end if; end if;end process;end behav;

15、 3.2程序的编译与及仿真波形在QuartusII新建项目,将设计的程序输入并以实体名为文件名保存4,然后以保存后的文件taxi.vhd为顶层文件建立工程,编译通过后新建波形文件,设置好输入参数后进行仿真,我们得到的仿真波形如图3:图3 出租车计价器程序的仿真波形从仿真波形中我们看出,当出租车行驶公里数(Km1,Km0组成的两位数)为16即出租车行驶了16公里,等待时间(min1,min0组成的两位数)为03,即等待3分钟时,对应的出租车计费显示是1650即16.5元。而理论计算如下:出租车总计费=起步费+(行驶公里数-3)1.0+(等待时间-2)0.5=3+(16-3)1.0+(3-2) 0

16、.5=16.50,可以看出仿真的结果与理论值一致。3.3程序的下载与功能的测试编译通过后,进行引脚锁定,锁定方法如图4:图4 引脚锁定图锁定好引脚后,将编译产生的SOF格式配置文件配置进FPGA1,具体操作如下:先将实验箱下载线连接好,接好电源打开实验箱电源开关,再选择实验箱工作模式0,将实验箱下载允许开关打开,最后在QuartusII菜单依次点击Toolsprogramer,配置好硬件后点击start programer。这时就可以进行功能测试了。根据引脚锁定可知实验箱上键6为等待计时控制键,键7为计费/复位控制键,键8为公里脉冲输入控制键。下载后,按下键7,就开始模拟出租车自动计费过程。数

17、码管计费显示为“0100”,即10元起步费,其他数码管显示数据都为零。然后模拟出租车处于等待时的计费情况,按下键6进入等待计时,发现显示计时的数码管每等待1分钟就累加“01”,当等待计时对应数码管显示大于02时我们发现显示计费的数码管显示数据每分钟增加“0050”,符合设计要求。最后模拟出租车行驶公里的计费,按下键8,发现显示公里数的数码管数值按规律增加,每增加1公里的同时,显示计费的数码管也对应增加“0100”,符合实验要求。因此,经过硬件电路个参数测试可知,本次设计的出租车自动计价器完全符合设计要求,达到了预期的目的。4、课程设计心得体会通过课程设计,我们深有体会:一、我们温故而知新。课程

18、设计发端之始,思绪全无,举步维艰,对于理论知识学习不够扎实的我们深感“书到用时方恨少”有时间就会拿教材与实验手册,对知识系统而全面进行了梳理,遇到难处先是苦思冥想再向同学请教,终于熟练掌握了基本理论知识,而且领悟诸多平时学习难以理解掌握的较难知识,学会了如何思考的思维方式,找到了设计的灵感。二、我们意识到实践出真知,从开始设计到课程设计基本告成,才切身领悟“实践是检验真理的唯一标准”,才明晓实践出真知。因为在教材上,计数器什么应用的少,而且例子浅显,与实际仿真和测试要求有所不同。三、我们要创新求发展.我们要从小处着手,顺应时代发展潮流,在课程设计中不忘在小处创新,未必是创新技术,但凡创新思维亦

19、可,未必成功,只要实现创新思维培育和锻炼即可。四、我们觉得过而能改,善莫大焉。至善至美,是人类永恒的追求。但是,我们不曾忘却“金无足赤,人无完人”,换种思维方式,去恶亦是至善,改错亦为至美。在课程设计过程中,我们不断发现错误,不断改正,不断领悟,不断获取。最终的检测调试环节,本身就是在践行“过而能改,善莫大焉”的知行观。五、我们领悟到学海无涯,学无止境。尽管课程设计是在期末才开始,我们的教材学习完毕,掌握许多知识,但是还有很多地方理解领悟不到位,由于对EDA技术与VHDL以及以前学过的模拟电子技术、数字电子技术的相关章节未能掌握以致用到清零复位模块程序无法自行设计,导致程序及实际功能不够完美我

20、们觉得学海无涯只有苦来作舟,学无止境只有书来作伴。 综上所述,课程设计诚然是一门专业课,给我们很多专业知识以及专业技能上的提升,同时又是一门讲道课,一门辩思课,给了我们许多道,给了我们很多思,给了我们莫大的空间。5、元器件及仪器设备明细表1元器件及仪器设备详单器件名称型号/版本数量备注微型计算机联想一台EDA/SOPC开发系统GW48-PK2一套QuartusII开发软件6.0一套6、参考文献1潘松,黄继业,EDA技术与VHDL(第2版). 北京:清华大学出版社,20072高书莉,罗朝霞.可编程逻辑设计技术及应用. 北京:人民邮电出版社,20043李宗伯,王蓉晖译.VHDL设计表示和综合. 北

21、京:机械工业出版社,20024边计年,薛宏熙译.用VHDL设计电子线路. 北京:清华大学出版社,20005王锁萍,电子设计自动化(EDA)教程. 成都: 电子科技大学出版社,20007、致谢在这次课程设计的撰写过程中,我们得到了许多人的帮助。首先我们要感谢我们的指导老师在课程设计上给予我们的指导、提供给我们的支持和帮助,这是我们能顺利完成这次报告的主要原因,更重要的是老师帮我们解决了许多技术上的难题,让我们能把系统做得更加完善。在此期间,我们不仅学到了许多新的知识,而且也开阔了视野,提高了自己的设计能力。其次,我们要感谢帮助过我们的同学们,他们也为我们解决了不少我们不太明白的难题。同时也感谢学院为我们提供良好的做毕业设计的环境。最后再一次感谢所有在设计中曾经帮助过我们的良师益友和同学。

copyright@ 2008-2023 冰点文库 网站版权所有

经营许可证编号:鄂ICP备19020893号-2