ImageVerifierCode 换一换
格式:DOCX , 页数:8 ,大小:123.62KB ,
资源ID:18489146      下载积分:1 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bingdoc.com/d-18489146.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(可编程课程设计报告汽车尾灯控制电路.docx)为本站会员(b****0)主动上传,冰点文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰点文库(发送邮件至service@bingdoc.com或直接QQ联系客服),我们立即给予删除!

可编程课程设计报告汽车尾灯控制电路.docx

1、可编程课程设计报告汽车尾灯控制电路编号: 1104020112 课程设计(可编程逻辑器件与应用) 题目:汽车尾灯控制电路设计与实现院 (系) 计算机与信息工程学院 专 业 信息工程 班 级 信息111 学生姓名 李会希 2014年6月摘要本次设计旨在完成汽车尾灯的控制电路,汽车尾灯控制电路使得汽车的行驶更加有秩序,更加方便操作。分析汽车尾灯控制电路设计原理, 采用Verilog HDL硬件描述语言实现汽车尾灯控制电路的设计, 具有可编程性、线路简单、可靠性高等特点,采用Quartus II 开发平台进行逻辑综合和时序仿真,并下载到PEC12Q240C8芯片上进行验证,获得了预期的结果。实验结果

2、表明,该系统没有传统设计中的接线问题,硬件功能可以像软件一样通过编程来修改,可靠性高、体积小,极大地提高了电子系统设计的灵活性和通用性。关键词:汽车尾灯控制电路; Verilog HDL ;Quartus II ;PEC12Q240C8芯片9.1 绪论 随着集成电路工艺EDA技术的高速发展, 电子系统的设计技术和工具发生了深刻的变化,以现场可编程门阵列(FPGA)为代表的ASIC器件得到了迅速普及和发展,器件集成度和速度都在高速增长。FPGA既具有门阵列的高逻辑密度和高可靠性, 又具有可编程特性。利用它进行产品开发,不仅微功耗、成本低、周期短、可靠性高, 而且可以实现静态可重复编程、动态在系统

3、重构、硬件功能可以像软件一样通过编程来修改,因此极大地提高了电子系统设计的灵活性和通用性。在汽车运行过程中,驾车司机通过尾灯通知后继车辆本人的运行意图,对于维持正常的交通秩序,保障安全具有极其重要的意义。汽车尾灯控制电路是随汽车智能化技术的发展而迅速发展起来的,汽车尾灯一般是用基于微处理的硬件电路构成, 正因为硬件电路的局限性,不能随意的更改电路的功能和性能,且可靠性得不到保证,因此对汽车尾灯控制系统的发展带来一定的局限性,难以满足现代汽车的智能化发展。本设计使用复杂可编程逻辑器件FPGA,用Verilog HDL语言对汽车尾灯控制电路进行设计,并用Quartus II 软件进行FPGA芯片的

4、编译和下载,生成的是集成化的数字电路,没有传统设计中的接线问题,硬件功能的改变可以通过编程来修改,所以故障率低、可靠性高,而且体积小,体现了EDA 技术在数字电路设计中的优越性。2 设计方案分析2.1 汽车尾灯控制电路的基本功能用6个发光管模拟6个汽车尾灯(左右各3个),用4个开关作为汽车控制信号,分别为:左拐、右拐、故障和刹车。车匀速行驶时,6个汽车尾灯全灭;右拐时,车右边3个尾灯从左至右顺序亮灭;左拐时,车左边3个尾灯从右至左顺序亮灭;故障时,车6个尾灯一起明灭闪烁;刹车时,6个尾灯全亮。2.2汽车尾灯控制电路设计原理汽车尾部两侧各有三个指示灯。按一般规律,汽车正常行驶时尾部的指示灯全灭;

5、 右转弯时, 右侧的三个指示灯按右循环的顺序点亮; 左转弯时,左侧的三个指示灯按左循环的顺序点亮; 刹车时,所有指示灯随clk脉冲同步闪烁。表1中列出汽车尾灯点亮和汽车运行状态之间的关系, 其中clk为控制灯闪烁的脉冲信号,left1left3为左侧指示灯,right1right3为右侧指示灯,L1L4为状态控制开关。2.3汽车尾灯控制电路FPGA 的实现本控制电路采用Verilog HDL语言设计, 运用自顶而下的设计思想, 按功能逐层分割实现层次化设计根据汽车尾灯控制电路设计原理, 将整个控制电路分为主控模块、右侧灯控制模块和左侧灯控制模块, 然后利用Verilog HDL语言编程描述各模

6、块的接口及电路功能, 最后利用原理图方式实现顶层电路设计。表2-1 汽车尾灯点亮与汽车运行状态之间的关系L1 L2 L3 L4运行状态左侧尾灯left1left3右侧尾灯right1right30000正常运行灯灭0100右转弯灯灭right1right3从左到右循环点亮1000左转弯left1left3从右到左循环点亮灯灭0010故障所有指示灯随clk脉冲同步闪烁0001刹车全亮全亮3 汽车尾灯控制方案实施3.1利用 Verilog HDL语言实现主控模块module carled(clk,cro,cout,VGA);input clk;input 3:0 cro; /开关控制output

7、reg5:0 cout; /6个输出output 3:0 VGA;assign VGA = 4b0001;reg3:0 i=4b0000; /初始时灯为全亮always (posedge clk) begin if(i!=cro) /判断汽车不为匀速行驶时 begin if(cro=4b0000) cout=6b111111; else if(cro=4b1000) cout=6b110111; else if(cro=4b0100) cout=6b111011; else if(cro=4b0010) cout=6b000000; else if(cro=4b0001) cout=6b000

8、000; end else if(i=cro) /判断汽车为匀速行驶时 begin if(cro=4b0000) /匀速行驶,灯全灭 cout=6b111111; else if(cro=4b1000) /左拐 begin if(cout=6b011111) cout=6b110111; else cout=(cout1)|6b000001; end else if(cro=4b0100) /右拐 begin if(cout=6b111110) cout=6b111011; else cout1)|6b100000; end else if(cro=4b0010) /故障 cout=cout;

9、 else if(cro=4b0001) /刹车 cout=6b000000; else cout=6b111111; end i=cro; endendmodule3.2 功能仿真及下载验证各模块Verilog HDL程序经过编译优化后, 选择合适的目标芯片进行综合、管脚配置。本电路选用ALTERA公司的可编程逻辑芯片PEC12Q240C8, 在Quartus软件上进行编程仿真并采用第三方验证工具EDI-VI试验箱验证所有预期功能, 根据现象对程序进行修改,以至准确实现所有功能。用EDI-VI试验箱验证时管脚定义及配置管脚图如表2-2、图2-1所示。表2-2 管脚定义Inputclk时钟信号

10、,引脚28Inputcro3:0汽车尾灯控制信号,引脚105 104 101 100高电平有效InputVGA控制方式0001OutputLed5:0尾灯,引脚132-137低电平有效图2-1 配置管脚3.3 实验箱连线如图3-1为硬件实验箱验证的连线图。 图3-1 硬件实验箱仿真连线图4 系统测试分析4.1 功能仿真测试分析如图4-1为用Quartus软件仿真得到的结果。图4-1 功能仿真的波形图该汽车尾灯控制电路在Quartus II中进行仿真, 仿真波形如图4-1所示。其中clk为时钟源提供连续时钟信号;cro寄存器是控制左、右转弯、匀速、刹车以及故障的模拟开关,负责接收系统外的输入信号

11、,cout寄存器显示的是左侧尾灯left1left3及右侧尾灯right1right3的相应状态。通过对仿真图进行分析,可以看出,本设计的输入输出逻辑关系已符合预期要求:车匀速行驶时,6个汽车尾灯全灭;右拐时,车右边3个尾灯从左至右顺序亮灭;左拐时,车左边3个尾灯从右至左顺序亮灭;故障时,车6个尾灯一起明灭闪烁;刹车时6个尾灯全亮。这说明软件部分设计基本完成。4.2 电路下载测试分析将所设计的程序下载到Altera公司的FPGA器件PEC12Q240C8芯片中(注意:往实验箱烧程序之前要将所有管脚状态设置为三态As input tri-stated),并进行在线测试。根据各输入端的逻辑功能,分

12、别赋予相应的值。通过观察输出指示灯的变化,设计电路符合要求。5 结论本设计基于完成汽车尾灯控制电路,在确立总体预期实现功能的前提下,分层次进行描述, 满足设计要求。在Quartus II环境下,用语言实现该电路,根据Verilog HDL的语法规则,对系统的逻辑行为进行描述, 然后通过综合工具进行电路结构的综合、编译、优化,利用波形仿真工具,可在短时间内设计出高效、稳定、符合设计要求的电路。这种利用FPGA设计的汽车尾灯电路,具有较强的灵活性,具备系统可编程能力,便于扩展,而且使用一块核心芯片就可以完成多项功能。另外,使用Verilog HDL进行编程,易学易用,便于调试。随着电子设计自动化技术的发展和普及, 利用EDA工具, 采用可编程逻辑器件缩短了电子产品的设计周期, 为设计者提供了方便。参考文献1 王金明. 数字系统设计与Verilog HDL(第四版) M. 北京:电子工业出版社. 2012. 2 李皓瑜. 汽车尾灯控制电路设计J. 软件导刊, 2013,12(8):33-34.3 刘宗佳. 汽车尾灯控制电路设计J. 科技资讯, 2013, 34(8):100

copyright@ 2008-2023 冰点文库 网站版权所有

经营许可证编号:鄂ICP备19020893号-2