ImageVerifierCode 换一换
格式:DOCX , 页数:37 ,大小:711.42KB ,
资源ID:18501426      下载积分:1 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bingdoc.com/d-18501426.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(uvm实战学习笔记.docx)为本站会员(b****0)主动上传,冰点文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰点文库(发送邮件至service@bingdoc.com或直接QQ联系客服),我们立即给予删除!

uvm实战学习笔记.docx

1、uvm实战学习笔记UVM实战(卷1) 学习笔记看了第1/2/3/4/5/6/8/9.1 这几个章节。 第一章是综述,第二章是一个具体的例子,学习笔记从第三章相关内容开始。我个人觉得UVM重要的部分(特点的部分):1)factory机制(override config_db)2)TLM传递3)phase机制4)sequence-sequencer 以及virtual seq/sqr内容中的截图基本来自于 UVM源代码、书自带的例子和uvm1.1应用指南及源代码分析这个PDF里的。 需要结合书(UVM实战(卷1)第1版)来看这个笔记。第3章 UVM基础3.1 uvm_component和uvm_o

2、bject常用的类名字:这个图是从作者张强的uvm1.1应用指南及源代码分析里截得,不如书上3.1.1里的图好。uvm_sequencer也是代码里必须有的,所以我加了uvm_sequenceruvm_void是一个空的虚类。在src/base/uvm_misc.svh中定义:红框的是我们搭testbench的时候用的比较多的基类。常用的uvm_object派生类:sequencer给driver的transaction要派生自uvm_sequence_item,不要派生自uvm_transaction 所有的sequence要派生自uvm_sequence或者uvm_sequence的派生类

3、,可以理解为sequence是sequence_item的组合(集合)。 driver向sequencer索要item,sequencer检查是否有sequence要发送item,当发现有item待发送时,就把这个item发给driver.常用的uvm_component派生类: 所有的driver要派生自uvm_driver. driver用来把sequence_item中的信息驱动到DUT端口上,从transaction-level向signal-level的转换。 uvm_driver需要参数(REQ RSP),比uvm_component增加了几个成员。重要的是seq_item_por

4、t和req/rsp. (src/comps/uvm_driver.svh) monitor/scoreboard 派生自 uvm_monitor和uvm_scoreboard, 但是uvm_monitor和uvm_scoreboard并没有在uvm_component基础上做扩展。 src/comps/uvm_monitor.svh sequencer要派生自uvm_sequencer. sequencer做了很多扩展,但是如果我们自己写的sequencer里没有增加成员的话,可以直接写如下代码:typedef uvm_sequencer #(传递的sequence_item类名) seque

5、ncer类名; 因为sequencer在agent中例化,所以一般写在agent类文件里。 reference_model派生自uvm_component. agent要派生自uvm_agent. uvm_agent里多了一个is_active的成员。一般根据这个active来决定是否实例化driver和sequencer. is_active变量的数值需要在env的build_phase里设置完成(可以直接设置,也可以用uvm_config_db#(int):set)。 env要派生自uvm_env. uvm_env没有对uvm_component扩展。 src/comps/uvm_env.

6、svh 所有的test都要派生自uvm_test或者它的派生类。uvm_test也没扩展 src/comps/uvm_test.svhuvm_object和uvm_component的macromacro非常重要,事关把这些类的对象注册到factory机制中去。uvm_object macro1)对于uvm_sequence_item就统一用(假设不用parameter):uvm_object_utils_begin(item类名). field_automationuvm_object_utils_end2)对于uvm_sequence,要加上uvm_object_utils(sequenc

7、e 类名)可能还需要uvm_declare_p_sequencer(sequencer类名)的声明uvm_component macro对于driver monitor reference_model scoreboard sequencer case agent env这些uvm_component派生类都要加上:uvm_component_utils(类名)uvm_component里的成员也可以像uvm_object里成员一样,用field_automation机制。field_automation机制:对于uvm_object派生类来说,field_automation机制让对象自动有

8、的copy compare print pack unpack等函数,简化了实现uvm_component派生类里一些function/task的工作量对于uvm_component派生类来说,field_automation机制最重要的是 可以在build_phase中自动获取uvm_config_db#():set()的数值(必须加super.build_phase(phase))- 也就是不用写 uvm_config_db#():get() 注意: field_automation的macro的类型要和uvm_config_db的参数类型一致:如下示例代码, field_int vs u

9、vm_config_db#(bit47:0) 这个时候super.build_phase()是不起作用的。想要起作用的话,需要用clone = new + copy 源代码中可以看到clone函数一上来会做一次create,然后调copy函数src/base/uvm_object.svh3.2 UVM的树形结构uvm_component的new/create要注意第一个参数是名字,第二个参数是parent指针。UVM真正的树根是“uvm_top”. 根据上面这个树结构,可以看出一个个component的parent是什么。uvm_top的parent是null。 当一个component在实例

10、化的时候,如果parent参数设成null,那么parent参数会被仿真器自动设置成uvm_root的实例uvm_top. 在6.6.1章节里也提到了,sequence在uvm_config_db#():get()的时候,第一个参数设成“null”,实际就是uvm_root:get() 3.5.1章节也提到了这个 层次结构函数:get_parent() get_child(string name) 这两个分别获取parent指针和指定名字的child指针。get_children(ref uvm_component children$) 获取所有的child指针get_num_children

11、() 获取child个数get_first_child(ref string name) get_next_child(ref string name) 获取child的名字(反映到string name上),返回值是0/1两种情况应用参考代码如下(改动的2.5.2例子中的my_agent.sv): 注意:上述代码是在connet_phase中实现的。上述代码的打印结果如下:my_agents name is uvm_test_top.env.i_agt, parents full path is uvm_test_top.env, children num is 3uvm_test_top.

12、env.i_agt 0 child: drv - full path:uvm_test_top.env.i_agt.drvuvm_test_top.env.i_agt 1 child: mon - full path:uvm_test_top.env.i_agt.monuvm_test_top.env.i_agt 2 child: sqr - full path:uvm_test_top.env.i_agt.sqrThis should be i_agt. my_agents name is uvm_test_top.env.i_agtuvm_test_top.env.i_agt first

13、child name is drvuvm_test_top.env.i_agt next child name is monuvm_test_top.env.i_agt next child name is sqrmy_agents name is uvm_test_top.env.o_agt, parents full path is uvm_test_top.env, children num is 1uvm_test_top.env.o_agt 0 child: mon - full path:uvm_test_top.env.o_agt.monUVM_WARNING /tools/sy

14、nopsys/vcs/G-2012.09/etc/uvm/src/base/uvm_component.svh(1846) 0: uvm_test_top.env.o_agt NOCHILD Component with name drv is not a child of component uvm_test_top.env.o_agtThis should be o_agt. my_agents name is uvm_test_top.env.o_agtuvm_test_top.env.o_agt first child name is mon3.3 field automation 机

15、制注意数组类型的field macro比一般的要少real和event的macro. 一般的对于enum类型有3个参数,而数组的只有2个参数。 联合数组的macro比较多常用函数需要注意 pack unpack pack_bytes unpack_bytes pack_ints unpack_ints 返回值都是bit个数。field-automation标记位17bit中 bit0copy bit1no_copy bit2compare bit3no_compare bit4print bit5no_print bit6record bit7no_record bit8pack bit9no

16、_packUVM_ALL_ON是 b000000101010101UVM_ALL_ON|UVM_NO_PACK 这样就会忽略掉pack bitfield-automation的macro可以和if结合起来,参考3.3.4的代码 uvm_object_utils_begin(my_transaction) uvm_field_int(dmac, UVM_ALL_ON) uvm_field_int(smac, UVM_ALL_ON) if(is_vlan)begin uvm_field_int(vlan_info1, UVM_ALL_ON) uvm_field_int(vlan_info2, UV

17、M_ALL_ON) uvm_field_int(vlan_info3, UVM_ALL_ON) uvm_field_int(vlan_info4, UVM_ALL_ON) end uvm_field_int(ether_type, UVM_ALL_ON) uvm_field_array_int(pload, UVM_ALL_ON) uvm_field_int(crc, UVM_ALL_ON | UVM_NOPACK) uvm_field_int(is_vlan, UVM_ALL_ON | UVM_NOPACK) uvm_object_utils_end这个is_vlan变量可以在sequenc

18、e里约束成0或1,来实现vlan或非vlanps: 我觉得这个地方代码其实写成像3.3.3里的有一个crc_error的rand bit的更合理一些。然后crc_error是UVM_ALL_ON|UVM_NOPACK,而crc是UVM_ALL_ON3.4 UVM打印信息控制get_report_verbosity_level()set_report_verbosity_level(UVM_HIGH) 只对当前调用的component起作用set_report_verbosity_level_hier(UVM_HIGH) 对当前及下面所有的component起作用simv +UVM_VERBOS

19、ITY=UVM_HIGH 命令行方式 - 我觉得用这个就可以了重载打印信息:set_report_severity_override(UVM_WARNING,UVM_ERROR);上述函数都是在connect_phase及后面的phase使用设置UVM_ERROR到达一定数量结束仿真set_report_max_quit_count(int) 设成0就是无论多少error都不退出get_report_max_quit_count() 返回如果是0,说明无论多少error都不退出设置在main_phase前调用。simv +UVM_MAX_QUIT_COUNT=103.4.4 3.4.5 3.4

20、.6 3.4.7 我觉得应该用不大到,就不做笔记了3.5 config_db机制uvm_config_db#(类型):set/get(component指针,”,”变量名字”,para4)都是4个参数:第一个参数是一个component指针,如果是null的话,相当于uvm_root:get()第二个参数是个路径字符串, 第一和第二两个参数组和成一个完整的路径第三个参数对于set、get要完全一致,是变量名字set的para4是数值,get的para4是变量component中的成员变量如果:1)component用uvm_component_utils宏注册2)变量用field-automa

21、tion宏注册3)component的build_phase函数里有super.build_phase(phase)那么可以省略get语句跨层次多重set的时候,看set的第一个参数,层级越高,优先级越高。调用set的时候,第一个参数尽量使用this同层次设置的时候是时间优先非直线设置的时候注意 第一和第二参数的使用,如果需要parent指针,则要用this.m_parentconfig_db机制支持通配符,但是作者不推荐使用通配符。 但是在对sequence的成员set的时候需要用通配符(6.6.1章节)。使用如下函数调试 config_dbcheck_config_usage() prin

22、t_config(1/0) 这两个函数在connect_phase函数中调simv +UVM_CONFIG_DB_TRACE注意:第二个参数设置错误不会报错!- config_db机制务必要注意参数的书写。第4章 UVM中的TLM1.0通信TLM 是Transaction Level Modeling缩写这章要搞清楚 port export imp fifo以及几种操作function/task 和对应component中要实现的function/task下面的箭头方向都是控制流的方向,不是数据流方向。我觉得作为一个VMM用户会觉得TLM有点难理解,总想用VMM_CHANNEL去套,结果把自己

23、搞晕。像port等其实是调imp所在component的task/function.我看UVM源代码里有一个uvm_seq_item_pull_port的class,它的基类是uvm_port_base. 在uvm_driver的成员seq_item_port就是这个类型的。 与它对应的是uvm_seq_item_pull_imp,uvm_sequencer的成员seq_item_export就是这种类型。在my_agent.sv中会connect它们。4.2端口互连port是动作的发起者,export是动作接收者,但是需要以一个imp来结束。可以portexportimp portporti

24、mp 也可以portimp exportimpportimp用的较多,portportimp可以用port指针赋值来实现portport(4.3.2章节)操作:put get/peek transport, transport相当于一次put+一次getpeek和get的不同(4.3.4章节): 使用uvm_tlm_analysis_fifo的时候,get任务会使fifo中少一个transaction;而peek任务是fifo把transaction复制一份发出,内部缓存中的transaction不会减少。- 一般情况下peek完以后,还得调get。上述操作都有阻塞和非阻塞之分。 port e

25、xport imp的类型也有blocking和nonblocking之分。port/export/imp类型: put/get/peek/get_peek/transport blocking/nonblocking/不区分blocking-nonblocking之分imp要多一个参数,除了声明transaction类型(或者REQ RSP类型)以外,还要声明实现这个接口的componentconnect的一定是同类型的port/export/impTLM的关键在于“与imp对应的component中task/function的实现”。假设A_port.connect(B_imp),那么需要实

26、现的task/function为:A_portB_impTask/functionFunctionuvm_blocking_put_portuvm_blocking_put_impputnonblocking_putnonblocking_put_imptry_put can_putputputputtry_put can_putblocking_transportblocking_transporttransportnonblocking_transportnonblocking_transportnb_transporttransporttransporttransportnb_trans

27、portget_peekget_peekget peektry_get can_get try_peek can_peekget/peek/get_peek和put类似, 上述task或function必须要实现,如果用不到就写个空函数(章节4.2.9)。注意 上述task或者function的参数。 put是一个transaction参数,get/peek是output的transaction参数,transport是一个req参数一个output的rsq参数。连接用connect函数实现,从名字就可以看出来,这个必须在connect_phase中调。4.3通信方式这节应该是本章重点。 实际

28、使用中用analysis_portanalysis_imp 还是 porttlm_analysis_fifoport 可以根据实际情况自己决定。analysis_port(analysis_export)可以连接多个imp(一对多的通信) put和get系列端口与相应imp的通信通常是一对一的(可以一对多,但是本书没有给出一对多的例子 4.2.1章节有介绍)。 analysis_port(analysis_export)更像是一个广播analysis_port(analysis_export)没有阻塞和非阻塞的概念。它是一个广播,不等与它相连的其他端口的响应。analysis_port(ana

29、lysis_export)必须连的imp是analysis_imp. analysis_imp所在的component必须定义个write的function - 注意:是function代码示例:4.3.1示例代码的analysis_port文件夹component C和B的代码基本一致。 env的connect_phase函数里做connect:component中有多个imp的时候,如何实现write函数?4.3.2给的例子中,scoreboard有两个imp,分别从output_agent和reference-model的analysis_port获取transaction,然后做com

30、pare. 这个时候需要用:uvm_analysis_imp_decl(_标记) 这个macro,然后“write”函数变成 “write_标记()”函数,analysis_port所在component不用变,还是调write()函数即可。 代码示例如下:使用macro声明write函数变名字analysis_port所在component实现不变。使用uvm_analysis_fifo(uvm_tlm_analysis_fifo), analysis_fifo的本质是一块缓存+两个imp. 用fifo来实现 portfifoport使用fifo最重要的是 选好两端的port类型,然后根据选

31、好的两端port类型,来选择fifo上要连接的imp/export fifo本身实现了write() put() get() peek()等一系列的function/task,在两端port所在的component中直接调就可以。连接在fifo两端的都是port,所以connect函数的起点是两端。4.3.3的示例代码:可以看到env里声明的几个fifo都是connect_phase函数中connect函数括号里的参数。i_agt.ap、o_agt.ap和mdl.ap是analysis_portmdl.port、scb.exp_port和scb.act_port都是blocking_get_portfifo上有很多export,但是这些export实际都是impsrc/tlm1/uvm_tlm_fifo_base.svh上面连接的agt_mdl_fifo.analy

copyright@ 2008-2023 冰点文库 网站版权所有

经营许可证编号:鄂ICP备19020893号-2