ImageVerifierCode 换一换
格式:DOCX , 页数:15 ,大小:457.94KB ,
资源ID:2198447      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bingdoc.com/d-2198447.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(全国一等奖全国大学生电子设计竞赛E题简易数字信传输性能分析仪李博修订稿.docx)为本站会员(b****2)主动上传,冰点文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰点文库(发送邮件至service@bingdoc.com或直接QQ联系客服),我们立即给予删除!

全国一等奖全国大学生电子设计竞赛E题简易数字信传输性能分析仪李博修订稿.docx

1、全国一等奖全国大学生电子设计竞赛E题简易数字信传输性能分析仪李博修订稿 公司标准化编码 QQX96QT-XQQB89Q8-NQQJ6Q8-MQM9N全国一等奖全国大学生电子设计竞赛E题简易数字信传输性能分析仪李博简易数字信号传输性能分析仪(E题) 国防科技大学作者:李博,李安冬,赵本东赛前辅导教师: 文稿整理辅导教师:摘要:本系统提出基于双FPGA的简易数字信号传输性能分析仪。利用前级FPGA产生程控数字信号和高频伪随机噪声,经过继电器切换带宽及增益可变的滤波器模拟的传输信道,和由型衰减网络、AD603程控放大实现的AGC网络,最终送入后级FPGA提取同步时钟信号,并在TFT液晶屏上显示眼图。

2、整个系统经过严格测试,各项指标合格。关键字:数字信号分析仪 滤波器 FPGA TFT液晶屏 眼图 Abstract: The?system put forward a kind of simple digital signal transmission performance analyzer based ontwo-FPGAcombination. The pre-programmed digital?signal andhigh-frequencynoise are generated by the first FPGA. Going through the analog?transmis

3、sion channel simulated bythe filter of Variable-gain?bandwidth, and the AGC network with -type network and AD603 programmable Amplifier, the signal is send to another FPGA for synchronous clock extraction. Signaleye diagramare displayed onthe TFT-LCD?screen. The entire system had been through rigoro

4、us testing, and all the indicators are qualified. Keys: digital signal analyzer, filter, FPGA, TFT-LCD, Eye diagram.1系统方案本系统主要由数字信号和伪随机信号发生模块、低通滤波器模块、数字信号分析模块组成,下面分别论证这几个模块的选择。 数字信号和伪随机信号发生模块的论证与选择方案一 数字信号发生器可采用通用的数字IC和555电路来实现。但是这种方案的硬件电路庞杂,而且时钟频率稳定度比较低,调试和实现较为困难。方案二 利用单片机编程模拟数字电路产生的数字信号,频率由改变定时器参数

5、来控制。但是这种方案中单片机自身频率较低,无法产生符合要求的噪声信号。方案三 充分利用FPGA的强大可编程能力,用verilog语言编程,很容易要求的M序列发生器。这种方案充分发挥FPGA并行处理能力,做到了资源的合理分配利用。综合考虑采用方案三。采用FPGA构建线性反馈的移位寄存器,产生曼彻斯特编码的信号M序列和伪随机噪声序列。 低通滤波模块的论证与选择方案一:采用可编程开关电容滤波器,例如linear公司的LTC1068,美信公司的MAX260,这种滤波器外接电路简单,通带阻带特性均可由相应公司的软件设计,截止频率仅决定于时钟频率,操作方便,但价格太高,且不符合题目采用模拟滤波的要求。方案

6、二:采用无源LC低通滤波器,无源滤波的优点为成本低,运行稳定,技术相对成熟,容量大。缺点为谐波滤除率一般只有80,对基波的无功补偿也是一定的。方案三:采用RC加运放构成有源滤波器(AFP),与无源滤波器相比,AFP具有高度可控性和快速响应性,能补偿各次谐波,可抑制闪变、补偿无功,有一机多能的特点;滤波特性不受系统阻抗的影响,可消除与系统阻抗发生谐振的危险;具有自适应功能,可自动跟踪补偿变化着的谐波滤除谐波可达到95以上,补偿无功细致。综合考虑采用方案三。因为截止频率要求不高,我们选用了廉价的LF356作为滤波器中的运放,多次计算调试,最终合理选择出三阶巴特沃兹滤波器的各项元件参数,实现了通带内

7、平稳、通带外-60dB/每十倍频,截止频率误差控制在3%以内,并在前后级分别加入型网络衰减和AD811实现可调增益放大,最终滤波器理论可调增益范围为6倍,均可以超出题目要求指标。 数字信号分析模块的论证与选择方案一:采用数字滤波器滤波还原数字信号,然后通过通信系统中位同步常用的超前滞后型数字锁相环进行位同步提取,同步捕获结果准确可靠。但是两者原理较复杂,短时间内FPGA编程不易实现。方案二:采用高阶硬件滤波,经过模拟电路微分-积分变换为脉冲信号,再通过FPGA进一步处理获得同步信号。但是实际验证发现该方案难以在信噪比较低时正确分辨频率。方案三:利用曼彻斯特编码和M序列自身的特性,先通过二值化处

8、理算法将采样信号转为数字信号,然后分析得到信号数据率,最后输出相位同步时钟。为便于算法编写和修正,以Altera FPGA的niosII软核作为主控制器,由于题目自身频档较少,可做到低信噪比。但是软核处理速度较慢,无法做到大量数据实时处理。在题目对实时性能要求不高的情况下,综合了实现难易程度、可靠性和系统的可扩展性几方面考虑,我们选择了方案三。总体方案确定,总体框图如下:系统框图2系统理论分析与计算 低通滤波器的设计低通滤波器题目要求实现100K,200K,500K三种截止频率的低通滤波器,每个滤波器带外衰减不少于 40dB/十倍频程,滤波器的通带增益 AF在 范围内可调。 我们经过分析,认为

9、截止频率不高,因此采用比较性能比较稳定的运放LF356,价格便宜,性能刚好能够达到指标的要求,符合组委会对性价比的考察意义。LF356的增益带宽积(GBW)为5M,压摆率(SR)为12V/us,足以构成500K通带的三阶巴特沃兹低通滤波器。经过计算分析,2阶低通滤波器带外衰减理论为-40dB每十倍频,但经过实测电路只能达到-38dB,而椭圆滤波器在阻带内有较大旁瓣,不满足题目要求,切比雪夫滤波器在通带内不平整,会导致信号不稳定,故我们采用3阶巴特沃兹低通滤波器。经过查表,三阶巴特沃兹低通滤波器(100k)的传输函数为:各元件值经过计算如下:三阶巴特沃兹低通滤波器(200k,500k)经过相似计

10、算和调试,最终也成功实现。 M序列数字信号的计算 m序列是最长线性反馈移位寄存器序列的简称,它是由带线性反馈的移位寄存器产生的周期最长的序列,也称为伪随机序列。查表可知题目中所给特征方程,均为本原多项式,可直接得到M序列反馈系数:C1=1 (n1=9).C2= (n2=13).根据反馈系数C和m序列级数n,就可用verilog语言描述出m序列发生器。 曼彻斯特编码的分析曼彻斯特编码的编码方法是将每一个码元再分成两个相等的间隔,参考定义,码元1是在前一个间隔为高电平而后一个间隔为低电平,码元0则相反。这种编码可以保证在每一个码元的正中间时间出现一次电平的转换,利于接收端提取位同步信号。曼彻斯特编

11、码后信号数据率为传输率的1/2,频带宽度则是原信号的2倍。按照曼彻斯特码的码形要求,编码器将输入时钟频率设定为原始信号时钟的2倍,每输出两个码元进行一次信号码元的采样。 眼图显示方法眼图的显示同时采用示波器显示与液晶屏显示两种方法,分别显示信号V2a和信号V4的眼图。示波器显示:将信号V2a作为主信号接入示波器,信号发生器的V1-clock和分析仪的V4-syn按要求选其一作为触发信号接入示波器。通过对示波器的垂直(Y轴)、水平(X轴)缩放与位置的调整,可得正确的眼图。液晶屏显示:信号V4的眼图采用同步实时采样和矢量连接叠加显示的方式显示在液晶屏上。考虑到Nios的速度限制,定时器设定每隔两秒

12、进行一次液晶屏的显示刷新。为模拟示波器的同步触发功能,根据同步信号的提取频率设定合适的AD采样率,用以消除数字信号发生器频率由于时钟分频造成的误差,做到采样数据相位同步。为模拟示波器的矢量连接叠加显示,眼图绘制时将采样点以矢量连接,相邻两点间的间隔由AD采样率和信号频率共同确定,然后按采样顺序叠加到200*200大小的眼图图像画布上,调整显示成单位周期间隔到3倍的时间窗口。为使绿色的深浅来反映矢量经过该点的次数,根据液晶屏的RGB565彩色模式,将图像画布点的红色,蓝色值定为零,绿色值设定正比于矢量经过的次数。 同步信号提取信号首先经过截止频率200kHz低通滤波器,滤去高阶噪声信号,然后送入

13、AD采样,采样率由测出的同步信号频率动态控制。信号二值化处理。首先从12位RAM中提取采样数据,通过数字环路滤波器后生成信号幅度的分布表。因M序列信号的随机性,定义高电平阈值为幅度表的中位点,大于该阈值的点为1,其余为0。另外,为滤去偶然的噪声尖峰,将频率远大于100kHz的脉宽全部去除。同步信号频率fc提取。利用曼彻斯特码双脉宽的特性,对二值化处理后的信号,通过计数测量出信号的最长脉冲宽度1/fc,选择与fc最接近的设定频率档进行时钟分频,即可获得与信号数据率相同的同步信号。同步信号相位锁定。在数字信号的第一个上升沿处给出二倍频率的同步时钟信号。当信号发生器和信号分析仪各频率档的分频控制字相

14、同,理论上没有相位漂移,但由于两者晶振的误差,在高频段仍必须采用自补偿法编程人工消除相位差。3电路设计信号发生器子系统与框图1、信号发生器子系统信号发生系统采用FPGA输出信号M序列模拟信源以及产生伪随机序列模拟噪声源。信源的码率可通过独立按键调整。信源信号和时钟信号分别经过LM311和74HC14整形稳压,LM311是工作在TTL下的高速比较器,用其构成了一级滞回比较器,用74HC14的非门特性进行上升边沿整形,削除尖峰过冲,再经过一级电压跟随器AD8074,AD8074是AD公司的500M宽带高速视频跟随器,内置三路电压跟随器,1600V/us的超高压摆率,用来与前、后级阻抗匹配,然后输出

15、到测试端口V1,V1-CLK。噪声信号经过一级跟随器与后面的衰减网络进行阻抗匹配,衰减网络由两路不同阻值的滑动变阻器通过拨码开关调整,再经过一级电压跟随器AD811做隔离,通过分段调整滑动变阻器最终可以输出100mv到TTL()的噪声信号V3。2、信号发生器子系统框图框图滤波器子系统电路图 AGC网络子系统电路图 加法器及衰减电路 AD603程控放大器 信号分析子系统框图与电路原理图数字信号分析电路子系统框图与电路原理图 同步信号提取流程图 4测试方案与测试结果测试方案 硬件测试: 滤波器电路测试: 100K滤波器通频带测试方法:使用标准信号源产生一个稳定的5V正弦信号连接到滤波器的输入端,在

16、1KHz到100KHz的频率范围内,以10KHz为步进,调节低通滤波器的截止频率,测试滤波器的-3dB带宽,再测试从100K到1M的范围内以100K为步进,测试阻带内衰减程度。200K滤波器通频带测试方法:将继电器切换至200K滤波器,从1K到200K,以20K为步进,其余方法与100K一样。500K滤波器通频带测试方法:将继电器切换至500K滤波器,从1K到500K,以50K为步进,其余方法与100K一样。通带增益测试方法,用1K、1Vp-p的输入信号测试滤波器输出电压幅度,调节电位器,使输出信号与输入信号比在范围内变化。信号发生器测试:使用示波器观察V1端口的波形,用独立键盘调整信源输出码

17、率,从示波器坐标功能测出M序列的码率。同步时钟提取测试:固定信源的码率为10K,使用示波器观察V4-sys端口的波形,通过调整信噪比,观察提取的同步时钟是否正确。即最小信噪比测试。眼幅度的测试:在信号定为10K的情况下,调节电位器,控制信号的幅度,将V2a端口和V1-CLK接上示波器观测信号眼图,并与最终TFT上显示的眼幅度做比较,记录数据。软件仿真测试:信号发生器全部由verilog语言编写,利用Quartus II自身仿真功能制作波形激励文件进行调试。M序列发生器仿真结果如下图。对信号分析仪算法仿真测试,利用Matlab搭建简单的模拟传输环境,然后生成测试数据检验算法。 软件硬件联调:在硬

18、件软件联调时,在保证硬件模块输入信号无误后,充分利用NIOS软核的JTAG调试功能,在IDE控制台窗口实时获取数据,通过对实际数据分析,修正软件算法。 测试条件与仪器测试条件:检查多次,仿真电路和硬件电路必须与系统原理图完全相同,并且检查无误,硬件电路保证无虚焊。测试仪器:HITACH V-212模拟示波器,TEKWEY DST1102数字示波器(100M),VICTOR VC890D数字万用表,TFG1020 DDS函数信号发生器(20M),SUING SA114扫频仪(140M),INSTEK GPS-3303直流电源。 测试结果及分析实验数据:低通滤波器实验数据:100K低通滤波器200

19、K低通滤波器500K低通滤波器测试频点输出输入比测试频点输出输入比测试频点输出输入比10K20K50K20K40K100K30K60K150K40K80K200K50K100K250K60K120K300K70K140K350K80K160K400K90K180K450K100K200K500K注:-3dB带宽等效为输出输入比为时的频点值。信号发生器测试数据:信号发生器(HZ)数据率 实际数据率10K20K30K40K50K60K70K80K90K100K同步时钟测试数据:同步时钟提取信噪比(V/V)同步时钟(HZ)3310K2510K2010K1510K1010K510K110K10K10K

20、10K10K*经过10K信号加噪声测试,本系统最低分析能力可达的信噪比,即-14dB。 各项指标达标情况:测试项目指标名称题目要求本系统指标信号发生器信号数据率10k到100k达成10K步进达成误差1%误差%信号编码曼彻斯特达成噪声数据率10M达成误差1%误差%噪声幅度100mvTTL50mvTTL低通滤波器-3dB通频带100K101K200K200K500K 501K带外衰减-40dB/十倍频-55dB/十倍频-3dB频带误差10%2%通频带增益4倍6倍信号分析仪同步时钟提取最低信噪比10K100K达成眼幅度测量测量出眼幅度基本达成、误差不大测试分析与结论根据上述测试数据,可以得出以下结论

21、:1、信号发生器采用稳定的FPGA内部移位寄存器产生,配合外围精确衰减和放大网络,指标均达到要求,误差都可以忽略。2、低通滤波器全都能达到甚至超额完成题目指标,但是还是存在一定误差,是因为电阻电容值选择不够精确,运放受温度环境等影响。3、信号分析仪充分应用多种软件滤波以及数学模型、统计量等,得出了高质量的分析结果,包括极低的信噪比和精确的眼幅度。综上所述,本设计达到设计要求。 参考文献1高吉祥.全国大学生电子设计竞赛培训教程,北京:电子工业出版社,20092高吉祥.数字电子技术(第二版),北京:电子工业出版社,20103Bernard Sklar.数字通信基础与应用(第二版),北京:电子工业出版社,20104郑南宁.数字信号处理,北京,清华大学出版社,20085Bruce Carter,Ron Mancini.运算放大器权威指南(第三版),北京:人民邮电出版社,2010

copyright@ 2008-2023 冰点文库 网站版权所有

经营许可证编号:鄂ICP备19020893号-2