ImageVerifierCode 换一换
格式:DOCX , 页数:14 ,大小:233.77KB ,
资源ID:3721484      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bingdoc.com/d-3721484.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(数字系统设计与PLD应用问题详解.docx)为本站会员(b****4)主动上传,冰点文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰点文库(发送邮件至service@bingdoc.com或直接QQ联系客服),我们立即给予删除!

数字系统设计与PLD应用问题详解.docx

1、数字系统设计与PLD应用问题详解1.7、 (1)算法模型(2)数据处理单元(框图)2.10、2.17、流水线操作结构:TS1=18*100+(256-1)*100=2.73*104(ns)顺序算法结构:TS2=256*18*100=4.608*105(ns)显然流水线操作时间短。(若系统输入数据流的待处理数据元素为m个,每一元素运算共计L段,每段历经时间为,则流水线操作算法结构共需运算时间为:T=L+(m-1) 而顺序算法(或并行算法)结构所需运行时间为:mL)2.30、(1).DFF 状态编码A000 B001 C010 D011 E100输出:(2)“一对一”状态分配次态表:NSPS输入条

2、件AAZCXEXBACBCDBZED-E激励方程:输出:3.2、试给出一位全减器的算法描述和数据流描述真值表:xybidbo0000000111010110110110010101001100011111x被减数 y减数 bi低位向本位的借位 d差 bo本位向高位的借位LIBRARY IEEE;USE IEEE.Std_Logic_1164.ALL;ENTITY full_sub IS PORT(x, y,bi : IN Std_Logic; d,bo : OUT Std_Logic);END full_sub;算法描述:ARICHITECTURE alg_fs OF full_sub ISB

3、IGIN PROCESS(x,y,bi) BEGIN IF (x=0 AND y=0 AND bi=0 OR x=1 AND y=0 AND bi=1 OR x=1 AND y=1 AND bi=0 ) THEN bo=0; d=0; ELSIF (x=1 AND y=0 AND bi=0 ) THEN bo=0; d=1; ELSIF (x=0 AND y=1 AND bi=1 ) THEN bo=1; d=0; ELSE bo=1; d=1; END IF; END PROCESS c1;END alg_fs;数据流描述:(d=xybi bo=xy+xbi+ybi)ARICHITECTUR

4、E dataflow_fs OF full_sub ISBEGIN d=x XOR y XOR bi; bo=(NOT x AND y) OR (NOT x AND bi) OR (y AND bi);END dataflow_ha;3.4、(1).十进制-BCD码编码器,输入、输出均为低电平有效。LIBRARY IEEE;USE IEEE.Std_Logic_1164.ALL;ENTITY encoder ISPORT(a : IN Std_Logic_Vector(9 DOWNTO 0) b : OUT Std_Logic_Vector(3 DOWNTO 0);END encoder;AR

5、CHITECTURE beh_encoder OF encoder ISBEGIN WITH a SELECT b= “0110” WHEN “0111111111”, “0111” WHEN “1011111111”, “1000” WHEN “1101111111”, “1001” WHEN “1110111111”, “1010” WHEN “1111011111”, “1011” WHEN “1111101111”, “1100” WHEN “1111110111”, “1101” WHEN “1111111011”, “1110” WHEN “1111111101”, “1111”

6、WHEN “1111111110”, “0000” WHEN OTHERS;END beh_encoder;补充:优先编码器LIBRARY IEEE;USE IEEE.Std_Logic_1164.ALL;ENTITY encoder ISPORT(a : IN Std_Logic_Vector(9 DOWNTO 0) b : OUT Std_Logic_Vector(3 DOWNTO 0);END encoder;ARCHITECTURE beh_encoder OF encoder ISBEGIN WITH a SELECT b= “0110” WHEN “0XXXXXXXXX”, “01

7、11” WHEN “10XXXXXXXX”, “1000” WHEN “110XXXXXXX”, “1001” WHEN “1110XXXXXX”, “1010” WHEN “11110XXXXX”, “1011” WHEN “111110XXXX”, “1100” WHEN “1111110XXX”, “1101” WHEN “11111110XX”, “1110” WHEN “111111110X”, “1111” WHEN “1111111110”, “0000” WHEN OTHERS;END beh_encoder;(2).时钟RS触发器。LIBRARY IEEE;USE IEEE.

8、Std_Logic_1164.ALL;ENTITY clk_rs_ff IS PORT(r,s,cp:IN Std_Logic; q,nq : BUFFER Std_Logic);END clk_rs_ff ;ARCHITECTURE beh_clkrsff OF clk_rs_ff ISBEGIN ASSERT NOT(r=1 AND s=1) REPORTControl error SEVERITY Error; PROCESS(r,s,cp) BEGIN IF cp=1 THEN q= s OR (NOT r AND q); nq= NOT( s OR (NOT r AND q); EN

9、D IF; END PROCESS;END beh_clkrsff;(3).带复位端、置位端、延迟为15ns的响应CP下降沿的JK触发器。LIBRARY IEEE;USE IEEE.Std_Logic_1164.ALL;ENTITY jk_ff IS GENERIC (tpd:Time:=15 ns); FORT (r,s,j,k,cp:IN Std_Logic; q,nq:BUFFEER Std_Logic);END jk_ff;ARCHITECTURE beh_jkff OF jk_ff ISBEGIN ASSERT NOT(r=0 AND s=0) REPORT Control erro

10、r SEVERITY Error; PROCESS(r,s,cp) BEGIN IF r=0 THEN q=0 AFTER tpd; nq=1 AFTER tpd; ELSIF s=0 THEN q=1 AFTER tpd; nq=0 AFTER tpd; ELSIF (cpEvent AND cp=0) THEN q=j AND nq OR NOT k AND q AFTER tpd; nq=NOT( j AND nq OR NOT k AND q) AFTER tpd; END IF; END PROCESS;END beh_jkff;(4).集成计数器74161。LIBRARY IEEE

11、;USE IEEE.Std_Logic_1164.ALL;USE IEEE.Std_Logic_Unsigned.ALL; ENTITY counter16 IS PORT (cr, ld, cp, ctt, ctp : IN Std_Logic; d : IN Std_Logic_Vector(3 DOWNTO 0); q : BUFFER Std_Logic_Vector(3 DOWNTO 0); co :OUT Bit);END counter16;ARCHITECTURE behav_ctr16 OF counter16 ISBEGIN PROCESS (cr,cp) BEGIN IF

12、 cr=0 THEN q=“0000”; ELSIF (cpEvent AND cp=1) THEN IF ld=0 THEN q=d; ELSIF (ctt=1 AND ctp=1) THEN IF q=“1111” THEN q=“0000”; ELSE q=q+“0001”; END IF; END IF; END IF; END PROCESS; co=1 WHEN (q=“1111” AND ctt=1) ELSE 0;END beh_ctr16;(5).集成移位寄存器74194。 LIBRARY IEEE; USE IEEE.Std_Logic_1164.ALL; ENTITY s

13、rg IS PORT(cr, cp : IN Std_Logic; d : IN Std_Logic_Vector(3 DOWNTO 0); sl, sr: IN Std_Logic; m: IN Std_Logic_Vector(1 DOWNTO 0); q: BUFFER Std_Logic_Vector(3 DOWNTO 0); END srg; ARCHITECTURE behav_srg OF srg IS BEGIN PROCESS(cr, cp) BEGIN IF cr=0 THEN q q q qNULL; -空操作,即保持 END CASE; END IF; END PROC

14、ESS; END behav_srg;3.6、(2).由D触发器构成的异步二进制模8计数器异步2k进制计数器的电路结构计数规律触发方式上升沿下降沿加法减法LIBRARY IEEE;USE IEEE.Std_Logic_1164.ALL;ENTITY asyn_ctr8 IS PORT(cp : IN Bit; q : BUFFER Std_Logic_Vector( 2DOWNTO 0);END ctr8;ARCHITECTURE struct_ctr8 OF asyn_ctr8 IS COMPONENT d_ff PORT (clk,d : IN Std_Logic; q,nq :OUT Std_Logic); END COMPONENT; SIGNAL nq0,nq1,nq2 : Std_Logic;BEGIN ff0: d_ff PORT MAP(cp,nq0,q(0),nq0); ff1: d_ff PORT MAP(q(0),nq1,q(1),nq1); ff2: d_ff PORT MAP(q(1),nq2,q(2),nq2);END struct_ctr8;4.3、一位全减器:输入为x(被减数)、 y(减数)、 bi(低位借位)、 d(差)和 bo(本位向高位的借位)(1).PROM实现:(2).PLA实现: 4.10、状态转换图:

copyright@ 2008-2023 冰点文库 网站版权所有

经营许可证编号:鄂ICP备19020893号-2