ImageVerifierCode 换一换
格式:DOCX , 页数:10 ,大小:316.95KB ,
资源ID:3815196      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bingdoc.com/d-3815196.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(电子拔河游戏机课程设计报告.docx)为本站会员(b****3)主动上传,冰点文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰点文库(发送邮件至service@bingdoc.com或直接QQ联系客服),我们立即给予删除!

电子拔河游戏机课程设计报告.docx

1、电子拔河游戏机课程设计报告课程设计说明书课程名称: 数字电子技术课程设计 题 目: 电子拔河游戏机 学生姓名: 专 业: 电子信息工程 班 级: 学 号: 电子拔河游戏机一、设计任务与要求1设计一个模拟拔河游戏比赛的逻辑电路。2使用15个发光二极管,开机后只有在拔河绳子中间的发光二极管亮。3赛双方各持一个按钮,快速不断地按动按钮,产生脉冲,谁按得快,发光的二极管就向谁的方向移动,每按一次,发光二极管移动一位。4的发光二极管移到任一方的终点时,该方就获胜,此后双方的按钮都应无作用,状态保持,只有当栽判按动复位后,在拔河绳子中间的发光二极管重新亮。5用七段数码管显示双方的获胜盘数。二、方案设计与论

2、证1总体设计思路 本次课程设计的题目是电子拔河游戏机,其原理可理解为甲乙两人通过按下AB两个按键的的快慢来让拔河游戏机的电平指示灯由中点向速度快的一方延伸,而阻止向速度慢的一方延伸。可以设想用可预置的加/减可逆计数器作主要器件,用计数器的输出状态通过译码器控制电平指示灯的显示状态。如当计数器进行加法计数时,发亮的电平指示灯向右方延伸,相反,进行减法计数时,发亮的电平指示灯向相反方向移动。当一局比赛结束,即发亮的LED移动某一方的终点时,由点亮该终点灯的信号使电路封锁加/减脉冲信号的作用,既实现电路的自锁,使加/减脉冲无效。只有按了复位按键双方才能继续下一局的比赛,计数器就记录双方的获胜的次数,

3、数码管显示胜者赢的盘数。2电路设计原理 拔河游戏机用15个电平指示灯排列成一行,开机后只有中间一个点亮,有以此作为拔河的中心线,游戏双方各持一个按键,迅速地、不断地按动产生脉冲,谁按得快,亮点向谁方向移动,每按一次,亮点移动一次。移到任一方终端指示灯点亮,这一方就得胜,此时双方按键均无作用,输出保持,只有经复位后才使亮点恢复到中心线。最后,显示器显示胜者的盘数。图1电子拔河游戏机原理框图图2 拔河游戏机的电路框图。可逆计数器74LS193原始状态输出4位二进制数0000,经译码器输出使中间的一只电平指示灯点亮。当按动A、B两个按键时,分别产生两个脉冲信号,经整形后分别加到可逆计数器上,可逆计数

4、器输出的代码经译码器译码后驱动电平指示灯点亮并产生位移,当亮点移到任何一方终端后,由于控制电路的作用,使这一状态被锁定,而对输入脉冲不起作用。如按动复位键,亮点又回到中点位置,比赛又可重新开始。将双方终端指示灯的正端分别经两个与非门后接到2个十进制计数器CC4518的使能端EN,当任一方取胜,该方终端指示灯点亮,产生1个下降沿使其对应的计数器计数。这样,计数器的输出即显示了胜者取胜的盘数。方案一1编码电路: 由双时钟二进制步可逆计数器74LS193构成,它有2个输入端,4个输出端,能进行加减计数。2译码电路: 由译码器4514BD的输出Y0Y15中选出15个接电平指示灯,电平指示灯的负端接地,

5、而正端接译码器;这样,当输出为高电平时电平指示灯点亮。比赛准备,译码器输入为0000,Y0输出为1,中心处指示灯首先点亮,当编码器进行加法计数时,亮点向右移,进行减法计数时,亮点向左移。3控制电路: 由或非门由74LS02构成,其作用是分出谁胜谁负。当亮点移到任何一方的终端时,判该方为胜,此时双方的按键均宣告无效。将双方终端指示灯的正接至或非门的2个输入端,当获胜一方为“1”,而另一方则为“0”,或非门输出为“0”,再送到74LS193计数器的置数端 ,于是计数器停止计数,处于预置状态,由于计数器数据端D0、D1、D2、D3和输出Q0、Q1、Q2、Q3对应相连,输入也就是输出,从而使计数器对脉

6、冲不起作用。4胜负显示: 由计数器74HC160D和译码显示器构成。将双方终端指示灯正极经与非门输出后分别接到2个74HC160D计数器的CP端,74HC160D的两组4位BCD码分别接到实验箱中的两组译码显示器的8、4、2、1插孔上。当一方取胜时,该方终端指示灯发亮,产生一个上升沿,使相应的计数器进行加一计数,于是就得到了双方取胜次数的显示,若1位数不够,则进行2位数的级连。方案二 本课题,可以用两片74LS192代替74LS193,先将两片74LS192连接成100进制的可逆,然后将其改成十六进制的计数器。用一全加器将两片74LS192的输出信号八位转化成四位,再接给CC4514的输入端。

7、 控制电路也可由异或门74LS86和与非门74LS00构成。将双方终端指示灯的正接至异或门的2个输入端,当获胜一方为“1”,而另一方则为“0”,异或门输出为“1”,经与非门产生低电平“0”,再送到两74LS192计数器的置数端,于是计数器停止计数,处于预置状态,此时,同样将各自计数器数据端D0、D1、D2、D3和输出Q0、Q1、Q2、Q3对应相连,则输入也就是输出,从而使计数器对脉冲不起作用。 其他电路基本保持不变。两个方案的对比: 两个方案对比,明显方案一优于方案二,方案二要多加一块计数器和一块全加器,这样无疑增加了电路的成本,且方案一的连接较为简单,但当没有74LS193芯片时,我们可以用

8、方案二代替方案一。所以在方案的选择上一般选择方案一。设计方案论证: 该控制系统由输入、输出和控制器模块构成。输入模块完成裁判启动命令和两个按钮信号的输入,其逻辑关系由门电路实现;控制器模块完成对输入脉冲信号的统计,由可预置加减计数器构成,其预置数为0100,作为加减计数的起点,加减计数的脉冲源分别取自两个按钮信号,计数器输出状态变量进入输出模块;输出模块完成计数器统计信号的翻译与显示(可由发光二极管完成)并给出一个此次比赛结束信号。三、单元电路设计与参数计算1触发电路:2计数电路:74LS193是双时钟4位二进制同步可逆计数器。 74LS193的特点是有两个时钟脉冲(计数脉冲)输入端CPU和C

9、PD。在RD=0、LD1的条件下,作加计数时,令CPD1,计数脉冲从CPU输入;作减计数时,令CPU1,计数脉冲从CPD输入。此外,74LS193还具有异步清零和异步预置数的功能。当清零信号RD1时,不管时钟脉冲的状态如何,计数器的输出将被直接置零;当RD0,LD0时,不管时钟脉冲的状态如何,将立即把预置数数据输入端A、B、C、D的状态置入计数器的QA、QB、QC、QD端,称为异步预置数。 3. 译码电路:4.胜负显示器: 74HC160 同步十进制计数器(带异步清零. )( 可直接复位与时钟无关)引脚 1-*R 2-CP 3-A 4-B 5-C 6-D 7-EN1 8-VSS9-PE 10-

10、EN2 11-QD 12-QC 13-QB 14-QA 15-C0 16-VDD原理CP *R EN1 EN2 PE 功能Q 0 Q Q Q 复位Q 1 1 0 1 计数和C0复位Q 1 0 1 1 计数复位Q 1 0 0 1 计数和C0复位上 1 Q Q 0 预置上 1 1 1 1 加计数说明: 上-上升沿 . C0为进位输出四、总电路工作原理及元器件清单1.总电路工作原理2电路完整工作过程描述(总体工作原理)原理:甲乙两人通过按键“W”“Q”的控制来产生一个上升沿的触发,如果谁按键的频率高,则74LS193可逆计数器可优先收到一个脉冲,对应的管脚会给予一个信号,使得该可逆计数器做加法或者减

11、法的运算。假如两者按下的频率一样,则可逆计数器无任何变化。然后当可逆计数器收到脉冲后做加法或者减法的计数,相对应的给予4线16线译码器4514BD一个信号,其控制的15的小灯泡会按照对应的信号亮灯,最初没操作的时候中间的灯泡亮起,如果可逆计数器收到一个加法信号,则其亮起的灯泡会改为中间右起第一个,如果是收到一个减法信号,则其亮起的灯泡会改为中间左起第一个。以此类推,灯泡会随着左右移动,当灯泡移动到最左或者最右边的时候就停止了移动,此时不管按下“Q”“W”都不能改变灯泡的位置,然后数码显示管则显示对应的数字。即记录胜负的局数。要想在原来的基础局数上再来一局,只要按下“space”键即可,如果想要

12、全部清零,则只需按下“A”即可。3.元件清单芯片数量(片)74LS192(同步二进制可逆计数器)14514BD (4线16线译码器)174LS02(四2输入与非门)17402N(或非门)27405N(反相器)274HC160D(十进制计数器)2五、结论与心得本次课程设计后我对数字电子技术有了更进一步的熟悉,实际操作和课本上的知识有很大联系,但又高于课本,一个看似很简单的电路,要动手把它设计出来就比较困难了,因为是设计要求我们在以后的学习中注意这一点,要把课本上所学到的知识和实际联系起来,同时通过本次电路的设计,不但巩固了所学知识,也使我们把理论与实践从真正意义上结合起来,增强了学习的兴趣,考验

13、了我们借助互联网络搜集、查阅相关文献资料,和组织材料的综合能力。通过设计拔河游戏机控制电路,让我对数字逻辑这门课有了更深刻的了解,对电子器件的认识也不再停留在字面上,实现了从理论到实践的飞跃。我了解到理论和实践是分不开的。只有理论不行,当你面对一堆元气件时,你会茫然不知所措;可只懂实践也不行,当别人问你为什么会这样,怎么样才算正确,你如果说凭感觉是不足以服人的。学习的目的就是去应用,只有实践才能将书本知识转化为实用的技能。这次课程设计终于顺利完成了,在设计中遇到了很多专业知识问题,最后在老师的指导和同学的共同努力下,终于游逆而解。此次课程设计,学到了很多课内学不到的东西,比如独立思考解决问题,出现差错的随机应变,和与人合作共同提高,都受益非浅。

copyright@ 2008-2023 冰点文库 网站版权所有

经营许可证编号:鄂ICP备19020893号-2