ImageVerifierCode 换一换
格式:DOCX , 页数:25 ,大小:173.84KB ,
资源ID:392038      下载积分:1 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bingdoc.com/d-392038.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(EDA花样流水灯文档格式.docx)为本站会员(b****2)主动上传,冰点文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰点文库(发送邮件至service@bingdoc.com或直接QQ联系客服),我们立即给予删除!

EDA花样流水灯文档格式.docx

1、 library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY first ISPORT ( clk : IN std_logic; rst : c : OUT std_logic_vector(7 DOWNTO 0) );END first;ARCHITECTURE arch OF first IS CONSTANT state0 : std_logic_vector(2 DOWNTO 0) := 000; CONSTANT state1

2、 :001 CONSTANT state2 :010 CONSTANT state3 :011 CONSTANT state4 :100 CONSTANT state5 :101 CONSTANT state6 :110 CONSTANT state7 :111 SIGNAL state : std_logic_vector(2 DOWNTO 0); SIGNAL cnt :BEGIN PROCESS(clk,rst) BEGIN IF (NOT rst = 1) THEN state = state0; cnt = state1; WHEN state1 = state2; WHEN sta

3、te2 = state3; WHEN state3 = state4; WHEN state4 = state5; WHEN state5 = state6; WHEN state6 = state7; WHEN state7 = WHEN OTHERS =NULL; END CASE; END IF; END PROCESS; PROCESS(state) BEGIN CASE state IS c 1010101001010101END arch;3.2 library IEEE;ENTITY second IS PORT ( );END second;ARCHITECTURE arch

4、OF second IS10000000010000000010000000010000000010000000010000000010000000013.3ENTITY third ISEND third;ARCHITECTURE arch OF third ISNULL3.4 library ieee;use ieee.std_logic_1164.all;entity sanba isport(a,b,c:in std_logic; y7,y6,y5,y4,y3,y2,y1,y0:out std_logic);end entity sanba;architecture behav of sanba issignal abc: std_logic_vector(2 downto 0);beginabc y0=0y1y2y3y4y5y6y7 end case; end process;end architecture behav;3.5 library IEEE;1100000001100000 c 00110000000110000000110000000110000000113.6 library IEEE;

copyright@ 2008-2023 冰点文库 网站版权所有

经营许可证编号:鄂ICP备19020893号-2