ImageVerifierCode 换一换
格式:DOCX , 页数:14 ,大小:42.56KB ,
资源ID:4258031      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bingdoc.com/d-4258031.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(EDA实验报告.docx)为本站会员(b****3)主动上传,冰点文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰点文库(发送邮件至service@bingdoc.com或直接QQ联系客服),我们立即给予删除!

EDA实验报告.docx

1、EDA实验报告 一 MAX plusII及开发系统使用一、实验目的1、 熟悉利用MAX-plus的原理图输入方法设计简单的组合电路2、 掌握层次化设计的方法3、 熟悉DXT-B型EDA试验开发系统的使用二、主要实验设备PC 机一台(中档以上配置),DXT-B3 EDA实验系统一台。三、实验原理数字系统设计系列实验是建立在数字电路基础上的一个更高层次的设计性实验。它是借助可编程逻辑器件(PLD),采用在系统可编程技术(ISP),利用电子设计自动化软件(EDA),在计算机(PC)平台上进行的。因为本实验是在计算机平台上进行,因此实验方式,实验手段和实验仪器与传统的实验有很大的区别,主要体现在以下几

2、个方面:1、 实验器材集中化,所有实验基本上在一套实验设备上进行。传统的实验每作完一个实验,实验器材基本上都要变动(个别除外)。而做本实验时,只要在计算机上把不同的程序输进去,其它步骤所有实验都一致;2、 实验耗材极小(基本上没有耗材);3、 在计算机上进行,自动化程度高,人机交互性好,修改、验证实验简单;4、 下载后,实验结果清晰;5、 实验仪器损耗少,维护简单;下面,我们就本套实验设备做一个简单的介绍。(一)Max+plus10.0的使用。1、Max+PlusII软件的安装步骤:第一步:系统要求奔3CPU以上,128M内存以上,4G 以上硬盘,98 操作系统(98或Me操作系统才可以下载,

3、其他操作系统下载必须安装驱动,否则只能仿真,如果大家只进行仿真的话,对系统没要求)第二步:安装点击安装可执行文件进行安装,安装完毕后会弹出一对话框,点击是或否都可以。第三步:将安装文件夹中的License 文件夹打开,里面有一个License.bat 注册文件,将此文件复制到你的安装目录下(你的安装目录可放在任一个驱动器下,然后建立一个Max10的文件夹,将系统安装在此文件夹中,安装后此文件夹中会有三个文件夹)的任一个文件夹中,要清楚位置。第四步:注册启动Max+PlusII 软件,可以从开始-程序-Altera-Max+PlusII 打开,也可以建立一个快捷方式在桌面上。启动软件后,会有弹出

4、一个对话框,点击是或否都可以,然后进入系统。点击菜单中的Options,然后选中License菜单项,打开弹出一个注册对话框,在注册文件路径中打开你第三步中复制位置的License 文件,然后点击OK,注册完毕。2、 max+plus软件基本设计流程注意:实验时必须严格按照上述流程进行,如实验中遇到问题,举手向老师提出,严禁随意乱做!(二)4位全加器设计一个4位全加器可以由4个1位全加器构成,如图1.1所示,1位的全加器串行联接可以实现4位的二进制全加器。图1.1 4位全加器电路原理图1位全加器可以由两个半加器和一个或门构成,如图1.2所示。图1.2 全加器电路原理图1位半加器可以由与、或、非

5、等基本门构成,如图1.3所示。图1.3 半加器电路原理图根据实验原理中,采用层次法设计一个4位全加器。四、实验步骤1、如图1.3所示,利用MAX-plus中的图形编辑器设计一半加器,进行编译、仿真,并将其设置成为一元件(可根据需要对元件符号进行调整)。注意:编译之前必须将文件设为当前文件。2、建立一个更高得原理图设计层次,如图1.2所示,利用前面生成的半加器元件设计一全加器,进行编译、仿真,并将其设置成为一元件(可根据需要对元件符号进行调整)。3、再建立一个更高得原理图设计层次,如图1.1所示,利用前面生成的半加器元件设计一全加器,进行编译、仿真。4、选择器件“Assign”|“Device”

6、|“MAX7000S”|“EPM7128SLC84-6”,并根据下载板上的标识对管脚进行配置。然后下载,进行硬件测试,检验结果是否正确。五、思考题:为了提高加法器的速度,如何改进以上设计的进位方式? 六、实验过程及结果:思考题:答:把元件的串行进位改为并行进位。因为串行进位是逐级进位的,延时较大,而并行进位 是同时进行不存在依赖关系,故并行进位会提高加法器的速度。 二 高速四位乘法器设计一、 实验目的1.熟悉利用MAX-plus的原理图输入方法设计简单的组合电路2.掌握层次化设计的方法3.掌握高速乘法器的设计方法二、 实验原理根据乘法的运算规则,不难得出下图所示的乘法器的原理框图。4位加法器可

7、以选择74283,b0*a,b1*a, b2*a,b3*a实际就是1位和4位的与运算,如下图所示。由原理框图不难得出如图2.1所示的电路原理图。三、 实验内容 按以上原理实现一个高速4位乘法器四、 实验步骤1.如上图所示,利用MAX-plus中的图形编辑器设计1-4的二进制乘法器,进行编译、仿真,并将其设置成为一元件(可根据需要对元件符号进行调整)。注意:编译之前必须将文件设为当前文件。2.建立一个更高得原理图设计层次,如图2.1所示,利用前面生成的1-4的二进制乘法器和调用库中的74283元件设计一高速4位乘法器3.选择器件“Assign”|“Device”|“MAX7000S”|“EPM7

8、128SLC84-6”,并根据下载板上的标识对管脚进行配置。然后下载,进行硬件测试,检验结果是否正确。五。思考题:除了以上方法是否还有其他实现高速乘法的方法?图2.1高速4位乘法器电路原理图六、实验结果:思考题:把元件的串行进位改为并行进位。因为串行进位是逐级进位的,延时较大,而并行进位 是同时进行不存在依赖关系,故并行进位会提高乘法器的速度。综合性设计实验部分 实验一 秒表的设计一、实验目的:1、 熟练利用VHDL语言进行数字系统设计;2、 掌握数字系统的设计方法自顶向下的设计思想;3、 掌握计数器的设计与使用;4、 根据秒表的功能要求设计一个秒表;二、实验设备:PC机一台、EDA教学实验系

9、统一台、下载电缆一根(已接好)、导线若干三、实验要求:1、 有秒、分计数,数码扫描显示输出;2、 有清零端和计数使能端;3、 在功能允许的情况下,可自由发挥;四、实验原理:1、 功能描述:秒表是一种计时的工具,有着很广泛的用途。本实验中的秒表要求有两个功能按钮:一个是计数和停止计数按钮,当第一次按下此按钮时,秒表开始计数,再一次按下时,秒表停止计数,并显示所计的数字;另一个是清零按钮,当按下此按钮时,秒表清零。在数码管上采用扫描显示输出。2、 基本原理:本实验中用到的主要元件有计数器、控制逻辑、数据选择器和译码器等。秒、分都是60 进制计数,所以必须采用两个60 进制的计数器(或6 进制计数器

10、与10 进制计数器的组合);控制逻辑主要是用来实现计数和清零。基本方框图如下:注意:计数器必须有进位输出、计数使能端和清零端。3、 自顶向下的设计方法:自顶向下的设计方法是数字系统设计中最常用的设计方法,也是基于芯片的系统设计的主要方法。它的基本原理框图如下:自顶向下的设计方法利用功能分割手段将设计由上到下进行层次化和模块化,即分层次、分模块进行设计和仿真。功能分割时,将系统功能分解为功能块,功能块再分解为逻辑块,逻辑块再分解为更少的逻辑块和电路。如此分割,逐步的将系统细化,将功能逐步具体化,模块化。高层次设计进行功能和接口描述,说明模块的功能和接口,模块功能的更详细描述在下一设计层次说明,最

11、底层的设计才涉及具体寄存器和逻辑门电路等实现方式的描述。(注意:这里所说的模块可能是芯片或电路板。)五、实验步骤:1、 采用自顶向下的设计方法,首先将系统分块;2、 设计元件,即逻辑块;3、 一级一级向上进行元件例化(本实验只需例化一次即可),设计顶层文件。六、实验报告1、写出实验源程序,画出仿真波形;2、总结实验步骤和实验结果;3、心得体会本次实验中你的感受;你从实验中获得了哪些收益;本次实验你的成功之处;本次实验中还有待改进的地方;下次实验应该从哪些地方进行改进;怎样提高自的实验效率和实验水平等等。4、完成实验思考题。七、问题与思考设计一个600的递减计数器。八、实验源程序:library

12、 ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;entity jishuqi isport(clk,clr:in std_logic; sec,en:buffer std_logic_vector(0 to 5);end entity;architecture art of jishuqi is signal ca:std_logic;begin process(clk,clr) is begin if clr=1 or sec=111100 then

13、sec=000000; elsif clkevent and clk=1 then sec=sec+1; if sec=111011 then if en=111011 then en=000000; else en=en+1; ca=0; end if; end if; end if; if sec=000000 then ca=1; else ca=0; end if; end process;end architecture;九、仿真波形:10、心得体会: 经过这次秒表的设计,对于maxplus的使用有了更好的理解,而且在程序的设计上也有了更好的想法,程序的书写不同于C语言,VHDL更为

14、严谨简练。附加:设计一个600的递减计数器:程序如下:LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; entity counter60 is port ( clk : in std_logic; clr : in std_logic; DOUT : out std_logic_vector(5 downto 0) ); end counter60; architecture rt1 of counter60 is signal data_r:std_logic_vector(5 downto

15、 0); begin process(clk,clr) begin if clr = 1 then data_r = 000000; else if clkevent and clk = 1 then if data_r = 000000 then data_r = 111011; else data_r = data_r - 1; end if; end if; end if; end process; DOUT = data_r; end rt1;仿真结果:实验二 序列检测器的设计一、实验目的:1、掌握序列检测器的工作原理;2、学会用状态机进行数字系统设计;二、实验器材:PC机一台、EDA

16、教学实验系统一台、下载电缆一根(已接好)、导线若干三、实验原理与内容:1、 序列检测器的基本工作过程:序列检测器用于检测一组或多组由二进制码组成的脉冲序列信号,在数字通信中有着广泛的应用。当序列检测器连续收到一组串行二进制码后,如果这组码与检测器中预先设置的码相同,则输出1,否则输出0。由于这种检测的关键在于正确码的收到必须是连续的,这就要求检测器必须记住前一次的正确码及正确序列,直到在连续的检测中所收到的每一位码都与预置的对应码相同。在检测过程中,任何一位不相等都将回到初始状态重新开始检测。方框图如下:2、 状态机的基本设计思想:在状态连续变化的数字系统设计中,采用状态机的设计思想有利于提高

17、设计效率,增加程序的可读性,减少错误的发生几率。同时,状态机的设计方法也是数字系统中一种最常用的设计方法。一般来说,标准状态机可以分为摩尔(Moore)机和米立(Mealy)机两种。在摩尔机中,其输出仅仅是当前状态值的函数,并且仅在时钟上升沿到来时才发生变化。米立机的输出则是当前状态值、当前输出值和当前输入值的函数。本实验要从一串二进制码中检测出一个已预置的8 位二进制码,每增加一位二进制码相当于增加一个状态,再加上一个初始态,用9个状态可以实现。其过程如下:注意:此图作为参考,检测不同的二进制码其过程不同!3、 实验内容:写出状态机的源程序,编译后进行仿真,看结果是否正确。四、实验步骤:1、

18、 充分理解状态机的工作原理,画出状态转换图;2、 写出源程序,按顺序进行处理;3、 检查结果是否正确。五、实验报告:1、写出实验源程序,画出仿真波形;2、总结实验步骤和实验结果;3、心得体会本次实验中你的感受;你从实验中获得了哪些收益;本次实验你的成功之处;本次实验中还有待改进的地方;下次实验应该从哪些地方进行改进;怎样提高自的实验效率和实验水平等等。4、完成实验思考题。七、问题与思考:如果改变待检测的二进制码,状态转换图应如何变化。八、实验程序:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;e

19、ntity melay is port(clk,datain,reset:in std_logic; dataout:out std_logic_vector(7 downto 0);end entity melay;architecture art of melay is type state_type is(st0,st1,st2,st3,st4,st5,st6,st7,st8); signal state:state_type; beginstate_process:process(clk,reset) begin if reset=1 then stateif datain=1 the

20、n stateif datain=0 then stateif datain=0 then stateif datain=0 then stateif datain=1 then stateif datain=1 then stateif datain=1 then stateif datain=0 then stateif datain=0 then state=st0; else stateif datain=1 then dataout=00000000; else dataoutif datain=0 then dataout=00000000; else dataoutif data

21、in=0 then dataout=00000000; else dataoutif datain=0 then dataout=00000000; else dataoutif datain=1 then dataout=00000000; else dataoutif datain=1 then dataout=00000000; else dataoutif datain=1 then dataout=00000000; else dataoutif datain=0 then dataout=00000000; else dataoutif datain=0 then dataout=00000001; else dataout=00000001;end if; end case; end process output_p;end architecture art;八、仿真波形:九、心得体会: 本次序列检测器的设计,在刚开始时走了弯路,在问题的理解上不够到位,在状态的改变上,写了太多不必要的程序。对于波形仿真的造作上还不是很熟练,以后还要进一步改进。不过在程序的书写方面有了更好的理解。十、问题与思考:如果改变待检测的二进制码,状态转换图应如何变化?答:改变待检测的二进制码,状态转换图跟随着其相应地改变。

copyright@ 2008-2023 冰点文库 网站版权所有

经营许可证编号:鄂ICP备19020893号-2