ImageVerifierCode 换一换
格式:DOCX , 页数:19 ,大小:329.08KB ,
资源ID:4353313      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bingdoc.com/d-4353313.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(电子拔河游戏机设计全文.docx)为本站会员(b****3)主动上传,冰点文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰点文库(发送邮件至service@bingdoc.com或直接QQ联系客服),我们立即给予删除!

电子拔河游戏机设计全文.docx

1、电子拔河游戏机设计全文数字逻辑与数字系统课程设计报告设计题目:拔河游戏机专业班级: 计算机科学与技术082班 学 生: 程杨杨 2567 同组学生: 郑 恒 指导教师: 拔河游戏机摘要:本实验使我们进一步掌握数字电子技术的理论知识,培养学生工程设计能力和综合分析问题、解决问题的能力本课程设计的内容就是采用74LS00、74LS93、4线6线译码器CC454、74LS02、CC 458设计的一个电子拔河游戏机,该游戏机具有整形、计数、译码、控制、复位等功能,设计原理简单易懂,所设计的游戏机的游戏规则和真的拔河比赛规则相类似。一设计任务与要求 .设计任务 2.设计要求 二总体设计方案 设计思路 2

2、电路设计原理 3两个方案的比照 64. 实验方案论证 65. 实验目的 66. 实验器件 7三单元电路设计与参数计算 7 . 整形电路 7 2. 计数电路 7 3. 译码电路 8 4. 胜负显示电路 9四总原理图及元器件清单 . 总原理图 2. 说明4 3. 元器件清单4五结论与心得 5六参考文献6一.设计任务与要求 设计思路给定实验设备和主要元器件按照电路设计的各局部组成一个完整的拔河游戏机。1. 拔河游戏机共有5个发光二级管,开机后只有中间一个发亮,以此作为拔河的中心线,游戏双方各持一个按键,迅速地,不断地按动以产生脉冲,谁按的快,亮点向谁方向移动,每按一次,亮点移动一次,移到任一方终端二

3、极管发亮,这一方就得胜,此时双方按键均无作用,输出保持,只有经复位后才使亮点恢复到中心线。2. 用七段数码管显示胜者取胜的盘数。任务与要求:1设计一个模拟拔河游戏比赛的逻辑电路。2电路使用5个发光二极管,开机后只有在拔河绳子中间的发光二极管亮。3比赛双方各持一个按钮,快速不断地按动按钮,产生脉冲,谁按得快,发光的二极管就向谁的方向移动,每按一次,发光二极管移动一位。4亮的发光二极管移到任一方的终点时,该方就获胜,此后双方的按钮都应无作用,状态保持,只有当栽判按动复位后,在拔河绳子中间的发光二极管重新亮。5用七段数码管显示双方的获胜盘数。6根据设计要求合理选择方案。二、总体设计方案:2、设计思路

4、 该设计的主要任务是控制“电子绳”发亮的LED管由中点向速度快的一方移动,而阻止向另一方移动。用可预制的加/减计数器作主要器件,用计数器的输出状态通过译码器控制LED发亮。当向计数器输入“加脉冲”时,使其作加运算而发亮的LED向增大的一方移动,相反,当输入“减脉冲”时,发亮的LED向相反的方向移动。 2当一局比赛结束,即发亮的LED移动某一方的终点时,由点亮该终点灯的信号使电路封锁加/减脉冲信号的作用,既实现电路的自锁,使加/减脉冲无效。同时,使电路自动加分。 3控制电路局部应能够控制由振荡器产生的脉冲信号进入计数器的加/减脉冲输入端,其进入方向由参赛双方输入的按键信号决定。22、电路设计原理

5、拔河游戏机用5个电平指示灯排列成一行,开机后只有中间一个点亮,有以此作为拔河的中心线,游戏双方各持一个按键,迅速地、不断地按动产生脉冲,谁按得快,亮点向谁方向移动,每按一次,亮点移动一次。移到任一方终端指示灯点亮,这一方就得胜,此时双方按键均无作用,输出保持,只有经复位后才使亮点恢复到中心线。最后,显示器显示胜者的盘数。图电子拔河游戏机原理框图 图2 拔河游戏机的电路框图。可逆计数器74LS93原始状态输出4位二进制数0000,经译码器输出使中间的一只电平指示灯点亮。当按动A、B两个按键时,分别产生两个脉冲信号,经整形后分别加到可逆计数器上,可逆计数器输出的代码经译码器译码后驱动电平指示灯点亮

6、并产生位移,当亮点移到任何一方终端后,由于控制电路的作用,使这一状态被锁定,而对输入脉冲不起作用。如按动复位键,亮点又回到中点位置,比赛又可重新开始。将双方终端指示灯的正端分别经两个与非门后接到2个十进制计数器CC458的使能端EN,当任一方取胜,该方终端指示灯点亮,产生个下降沿使其对应的计数器计数。这样,计数器的输出即显示了胜者取胜的盘数。方案一编码电路:由双时钟二进制同步可逆计数器74LS93构成,它有2个输入端,4个输出端,能进行加减计数。2整形电路:由与门74LS08和与非门74LS00构成。因74LS93是可逆计数器,控制加减的CP脉冲分别加至5脚和4脚,此时当电路要求进行加法计数时

7、,减法输入端CPD必须接高电平;进行减法计数时,加法输入端CPU也必须接高电平,假设直接由A、B键产生的脉冲加到5脚或4脚,就有很多时机在进行计数输入时另一计数输入端为低电平,使计数器不能计数,双方按键均失去作用,拔河比赛不能正常进行。加一整形电路,使A、B二键出来的脉冲经整形后变为一个占空比很大的脉冲,这就减少了进行某一计数时另一计数输入为低电平的可能性,从而使每按一次键都有可能进行有效的计数。图3为整形电路图。 图33译码电路:由4线6线译码器CC454构成。译码器的输出Y0Y5中选出5个接电平指示灯,电平指示灯的负端接地,而正端接译码器;这样,当输出为高电平时电平指示灯点亮。比赛准备,译

8、码器输入为0000,Y0输出为,中心处指示灯首先点亮,当编码器进行加法计数时,亮点向右移,进行减法计数时,亮点向左移。4控制电路:由或非门74LS02构成,其作用是指示出谁胜谁负。当亮点移到任何一方的终端时,判该方为胜,此时双方的按键均宣告无效。将双方终端指示灯的正接至或非门的2个输入端,当获胜一方为“”,而另一方则为“0”,或非门输出为“0”,再送到74LS93计数器的置数端 ,于是计数器停止计数,处于预置状态,由于计数器数据端D0、D、D2、D3和输出Q0、Q、Q2、Q3对应相连,输入也就是输出,从而使计数器对脉冲不起作用。5胜负显示:由计数器CC458和译码显示器构成。将双方终端指示灯正

9、极经与非门输出后分别接到2个CC458计数器的CP端,CC458的两组4位BCD码分别接到实验箱中的两组译码显示器的8、4、2、插孔上。当一方取胜时,该方终端指示灯发亮,产生一个上升沿,使相应的计数器进行加一计数,于是就得到了双方取胜次数的显示,假设位数不够,则进行2位数的级连。方案二本课题,可以用两片74LS92代替74LS93,先将两片74LS92连接成00进制的可逆,然后将其改成十六进制的计数器。用一全加器将两片74LS92的输出信号八位转化成四位,再接给CC454的输入端。控制电路也可由异或门74LS86和与非门74LS00构成。将双方终端指示灯的正接至异或门的2个输入端,当获胜一方为

10、“”,而另一方则为“0”,异或门输出为“”,经与非门产生低电平“0”,再送到两74LS92计数器的置数端,于是计数器停止计数,处于预置状态,此时,同样将各自计数器数据端D0、D、D2、D3和输出Q0、Q、Q2、Q3对应相连,则输入也就是输出,从而使计数器对脉冲不起作用。电路的其他局部不变。两个方案的比照:两方案比照,明显方案一优于方案二,方案二要多加一块计数器和一块全加器,这样无疑增加了电路的本钱,且方案一的连接较为简单,但当没有74LS93芯片时,我们可以用方案二代替方案一。所以在方案的选择上一般选择方案一。2.3 设计方案论证:该控制系统由输入、输出和控制器模块构成。输入模块完成裁判启动命

11、令和两个按钮信号的输入,其逻辑关系由门电路实现;控制器模块完成对输入脉冲信号的统计,由可预置加减计数器构成,其预置数为000,作为加减计数的起点,加减计数的脉冲源分别取自两个按钮信号,计数器输出状态变量进入输出模块;输出模块完成计数器统计信号的翻译与显示(可由发光二极管完成)并给出一个此次比赛结束信号。2.4实验目的:.学习数字电路中基本RS触发器、计数、译码显示等单元电路的综合应用。2.熟悉拔河游戏机的工作原理。3.复习数字电路中RS触发器、4线6线译码器、计数器、译码显示器等局部内容。4.分析拔河游戏机组成、各局部功能及工作原理。查出各芯片引脚排列及功能。2.5实验器件: CC454 4线

12、6线译码器 CC458 双同步十进制计数器 74LS93 同步二进制可逆计数器 74LS00 四2输入与非门 74LS08 四2输入与门 74LS86 四2输入异或门 三、单元电路设计与参数计算 整形电路:3.计数电路:74LS93是双时钟4位二进制同步可逆计数器。 74LS93的特点是有两个时钟脉冲(计数脉冲)输入端CPU和CPD。在RD=0、LD的条件下,作加计数时,令CPD,计数脉冲从CPU输入;作减计数时,令CPU,计数脉冲从CPD输入。此外,74LS93还具有异步清零和异步预置数的功能。当清零信号RD时,不管时钟脉冲的状态如何,计数器的输出将被直接置零;当RD0,LD0时,不管时钟脉

13、冲的状态如何,将立即把预置数数据输入端A、B、C、D的状态置入计数器的QA、QB、QC、QD端,称为异步预置数。 3. 译码电路:4.胜负显示电路CC458双四位异步BCD码加法计数器Cr:异步清零端(复位端),高电平有效。CP,EN:计数器工作状态控制与时钟脉冲输入端。QD,QC,QB,QA :计数器四位数据输出端。CC458逻辑功能如表342所示。输入输出CrCPENQDQCQBQA清零XX0000计数0BCD码加法计数保持0X0保持计数00BCD码加法计数保持0X保持 CC458逻辑功能()将来关C置高,通过CC458的清零端RD使数码管显示为 0。在总电路中,使甲乙双方比赛之前,完成复

14、位的作用。(2)将C置低,使CC458进入计数功能,当通过开关A给左边的CC458加脉冲时,经过它的计数功能,它所接的译码显示器开始显示数据,从0加到9。在总的电路中,CC458的脉冲是通过乙方的最左端的发光二极管发光来给的,故当乙方最左端的二极管发光使,乙方的胜负显示器上便会加数,来说明乙方获胜。(3)将C置低,使CC458进入计数功能,当通过开关B给右边的CC458加脉冲时,经过它的计数功能,它所接的译码显示器开始显示数据,从0加到9。在总的电路中,CC458的脉冲是通过甲方的最右端的发光二极管发光来给的,故当甲方最右端的二极管发光使,甲方的胜负显示器上便会加数,来说说明甲方获胜。四、总原

15、理图及元器件清单总原理图()将开关C、D先置高 ,即通过74LS93的清零端CR和CC458的清零端RD使中 心发光二极管发光和胜负显示器为0。(2)将开关C、D先置低 ,即使74LS93的清零端CR和CC458的清零端RD为低,使74LS93 和CC458进入工作状态,此时甲乙双方分别快速按动键A、B,使74LS93计数器进行加减运算,可以看到发光二极管左右移动,上图中为甲方获胜的情形,以以下图为乙方获胜的情形。甲乙双方的得分为:。 2说明按键A、B通过整形电路给计数电路加脉冲,使74LS93完成加减运算,输出结果送到译码器的输入端,使译码器CC454完成译码功能,通过输出端来控制发光二极管

16、的发光情况。当最左端或最右端的发光二极管发光时,3元器件清单四、各逻辑门的引脚图、74LS00的引脚图。 2、74LS02的引脚图。3、74LS08的引脚图。 4、74LS93的引脚图。5、4线6线译码器CC454的引脚图。6、CC458的引脚图。五设计总结:拔河游戏机共有5个发光二级管,开机后只有中间一个发亮,以此作为拔河的中心线,游戏双方各持一个按键,迅速地,不断地按动以产生脉冲,谁按的快,亮点就向谁的方向移动,每按一次,亮点移动一次,移到任一方终端二极管发亮,这一方就得胜,此时双方按键均无作用,输出保持,只有经复位后才使亮点恢复到中心线, 用七段数码管显示胜者取胜的盘数。本实验通过设计多

17、谐振荡器提供输入脉冲,用可逆计数器,译码器,将甲乙双方的输入转换为脉冲,再经过译码,显示译码器和七段数码管实现电路的记分功能。用开关设计的裁判可以实现电路的记分和清零功能。因74LS93是可逆计数器,控制加减的CP脉冲分别加至5脚和4脚,此时当电路要求进行加法计数时,减法输入端CPD必须接高电平;进行减法计数时,加法输入端CPU也必须接高电平,假设直接由A、B键产生的脉冲加到5脚或4脚,就有很多时机在进行计数输入时另一计数输入端为低电平,使计数器不能计数,双方按键均失去作用,拔河比赛不能正常进行。需加一整形电路,使A、B二键出来的脉冲经整形后变为一个占空比很大的脉冲,这样就可减少了进行某一计数

18、时另一计数输入为低电平的可能性,从而使每按一次键都有可能进行有效的计数。整形电路由与非门和与门实现。 六实验心得与体会经过一个多星期的努力和付出的汗水,终于把课程设计做完了。真是不容易呀,一份耕耘,一份收获。这次课程设计给了我许多收获。本次课程设计后我对数字电子技术有了更进一步的熟悉,实际操作和课本上的知识有很大联系,但又高于课本,一个看似很简单的电路,要动手把它设计出来就比较困难了,因为是设计要求我们在以后的学习中注意这一点,要把课本上所学到的知识和实际联系起来,同时通过本次电路的设计,不但稳固了所学知识,也使我们把理论与实践从真正意义上结合起来,增强了学习的兴趣,考验了我们借助互联网络搜集

19、、查阅相关文献资料,和组织材料的综合能力。不仅这样,还使我熟悉掌握了WORD文档的编辑。 通过设计拔河游戏机控制电路,让我对数字逻辑这门课有了更深刻的了解,对电子器件的认识也不再停留在字面上,实现了从理论到实践的飞跃。我了解到理论和实践是分不开的。只有理论不行,当你面对一堆元气件时,你会茫然不知所措;可只懂实践也不行,当别人问你为什么会这样,怎么样才算正确,你如果说凭感觉是缺乏以服人的。学习的目的就是去应用,只有实践才能将书本知识转化为实用的技能。参考文献: 湖南大学 彭介华主编电子技术课程设计指导,高等教育出社 2 李国丽 朱维勇 电子技术实验指导书 中国科技大学出社 3 蔡惟铮主编:数字电子线路基础,哈尔滨,哈尔滨工业大学出社,988 4 李士雄、丁康源主编:数字集成电子技术教程,高等教育出社,993 5 方建中主编电子线路实验,浙江大学出社,2022 6 康华光主编电子技术基础.数字局部(第四),高等教育出社

copyright@ 2008-2023 冰点文库 网站版权所有

经营许可证编号:鄂ICP备19020893号-2