ImageVerifierCode 换一换
格式:DOCX , 页数:18 ,大小:193.07KB ,
资源ID:485166      下载积分:1 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bingdoc.com/d-485166.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(VHDL硬件描述语言课程设计报告基于VHDL的乒乓球游戏机的设计.docx)为本站会员(b****2)主动上传,冰点文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰点文库(发送邮件至service@bingdoc.com或直接QQ联系客服),我们立即给予删除!

VHDL硬件描述语言课程设计报告基于VHDL的乒乓球游戏机的设计.docx

1、VHDL硬件描述语言课程设计报告基于VHDL的乒乓球游戏机的设计各专业全套优秀毕业设计图纸湖南科技大学 VHDL硬件描述语言课程设计报告题目:乒乓球游戏机设计专业:通信工程班级: 姓名: 学号: 指导教师: 2015年 01月 4 日信息与电气工程学院课程设计任务书20142015学年第一学期专业:学号:姓名:课程设计名称:设计题目:完成期限:自年月日至年月日共周设计依据、要求及主要内容(可另加附页):指导教师(签字):批准日期:年月日目录 摘要 2Abstract 2第一章课程设计的目的 3第二章课程设计的内容 32.1课程设计的简要说明 32.2课程设计的任务和要求 3第三章电路工作原理

2、4第四章主要程序及仿真结果 54.1主要程序 54.2 编译和波形仿真 9第五章对本次设计的体会和建议 12参考文献: 13 摘要用VHDL编程模拟乒乓球比赛,电路模块由状态机、记分器、译码显示器等部分组成,对各部分编写VHDL算法,进行编译、仿真、逻辑综合、逻辑适配及程序下栽通过GW48型EDA实验箱的验证,乒乓游戏机能模拟乒乓球比赛的基本过程和规则,并能自动裁判和记分。VHDL是高速集成电路硬件描述语言,目前已成为许多设计自动化工具普遍采用的标准化硬件描述语言VHDL语言功能性强、覆盖面广、灵活性高,具有很好的实用。本文设计一个基于VHDL的乒乓游戏机模拟乒乓球比赛。设计的难点是状态机。并

3、对其进行仿真,给出了仿真波形,完整程序可写入芯片,配合外围元器件,实现乒乓游戏机的功能。关键词:VHDL;GW48;乒乓游戏机AbstractTable tennis simulation game using VHDL programming, circuit module is composed of state machine, marker, decoding display parts, each part of the preparation of the VHDL algorithm, compile, simulation, logic synthesis, logic ada

4、pter and program planted. Through experimental verification box type GW48 EDA, table tennis tour basic process and rules of play of function simulation table tennis competition, and can automatically judge and score. VHDL is a high speed integrated circuit hardware description language, has now beco

5、me the standard is widely used in many design automation tools, hardware description language.VHDL language function is strong, wide coverage, high flexibility, practicability is very good. A table tennis match simulation table tennis game machine based on VHDL is designed in this paper. Design is t

6、he difficulty of state machine. And the simulation, the simulation waveform, a complete program can be written with chip, peripheral components, to achieve the function of table tennis game machine.Keywords: VHDL; GW48; table tennis game machine第一章课程设计的目的1、熟练掌握了相关软件Max Plus 2的使用操作。能对VHDL语言程序进行编译及调试,

7、以及通过计算机仿真,得到正确的仿真波形图,并根据所得仿真波形图分析判断并改进所设计的电路。2、在成功掌握软件操作基础上,将所学数字电路的基础课知识与VHDL语言的应用型知识结合起来并与实际设计,操作联系起来,即“理论联系实际”的观点。3、完成可编程器件与VHDL语言课程设计,掌握设计语言技术的综合应用性。本次设计课题为多路彩灯控制器。4、熟悉系统的分析和设计方法,合理掌握选用集成电路的方法,初步接触EDA技术,为以后本专业的学习奠定良好的基础。第二章课程设计的内容2.1课程设计的简要说明 两人乒乓球游戏机是用9个发光二级管代表乒乓球台,中间一个发光二极管兼做乒乓球网,用点亮的发光二极管按一定方

8、向移动来表示球的运动。在游戏机的两侧各设置发球和击球开关,(一个是发球开关StartA,StartB;另一个是击球开关HitA,HitB。)甲乙双方按乒乓球比赛规则来操作开关。当甲方按动发球开关时,靠近甲方的第一个发光二极管亮,然后发光二极管由甲方向乙方依次点亮,代表乒乓球的移动。当球过网后按照设计者规定的球位乙方就可以击球。若乙方提前击球或者未击到球,则甲方得分,甲方的记分牌自动加分。然后重新发球进行比赛,知道一方记分达到21分为止,记分清零,重新开始新一局比赛。2.2课程设计的任务和要求设计一个乒乓球游戏机,该机模拟乒乓球比赛的基本过程和规则,并能自动裁判和计分。要求如下:1.使用乒乓游戏

9、机的甲、乙双方各在不同的位置发球或击球。2.乒乓球的位置和移动方向由灯亮及依次点亮的方向决定。球移动的速度为0.10.5s移动一位(读者可以根据自己的情况进行设定)。球过网,接球方就可以接球,提前接球或者没击着球均判失分。3.比赛按21分为一局,甲、乙双方都应设置各自的记分牌,任何一方先记满21分,该方就算胜出。按Reset键将记分牌清0后,即可开始新的一局比赛。2.3课程设计的训练目标学会用VHDL 设计简单的状态机,掌握用状态机控制其他模块并处理其反馈信息的经典用法。第三章电路工作原理ScoreAScoreBIncreaseAIncreaseBClearLight0.8 按键 系统的逻辑划

10、分图设计该乒乓球游戏机的输入/输出端口。首先考虑输入端口,一般都应该设置一个异步置位端口Reset,用于在系统不正常时回到初始状态;两个发球输入端StartA,StartB,逻辑1分别表示甲方和乙方的发球;两个击球输入端Hit1和Hit2,逻辑1分别表示甲击球和乙击球;一个开始游戏按钮startbutton,处于逻辑1表示可以游戏;还得有一个时钟输入端口clk。其次考虑输出端口,芯片应该有9个输出端口来控制9个发光二极管,输出逻辑1即输出一个高电平,可以使发光二极管点亮;另外,要直观地表示双方的得分,就得用到七段译码器,每方用到2个,可以表示021的数字,每个七段译码器需要芯片的7个输出端口来

11、控制,总共需要28个输出端口。第四章主要程序及仿真结果4.1主要程序4.1.1主程序状态机模块这个模块式主程序模块,也是最重要的模块。采用状态机单进程的方法,一共设置了7个状态,分别是“等待发球状态”(waitserve),“第一盏灯亮状态”(light1on),“第六盏灯亮状态”(light6on),“球向乙移动状态”(ballmove2),“球向甲移动状态”(ballmoveto1),“允许甲击球状态”(allow1hit),“允许乙击球状态”(allow2hit)。具体实现代码如下:process(clk1,reset) -clk作为敏感信号触发进程begin -进程开始 if rese

12、t=0 then -异步置位 i=0; count1=00000; count2=00000; elsif (rising_edge(clk1) then -当处于时钟inclock上升沿时 if count1=10101or count2=10101then i=0; count1=00000;-count1和count2分别为甲、乙的得分 count2 -进程处于等待发球状态 if serve=0 then i=1; state=light1on; elsei=6; state -进程处于第一盏灯亮状态 i=2; if hit2_en=0 then i=0; count1=count1+1

13、;-甲得一分 state=waitserve; else state -进程处于第八盏灯亮状态 i=5; if hit1_en=0 then i=0; count2=count2+1;-乙得一分 state=waitserve; else state -进程处于球向乙移动状态 if hit1_en=0 then i=0; count2=count2+1; state=waitserve; elsifi=2 then i=1; state=allow1hit; elsei=i-1; state -进程处于球向乙移动状态 if hit2_en=0then i=0; count1=count1+1;

14、 state=waitserve; elsifi=5 then i=6; state=allow2hit; elsei=i+1; state -进程处于允许甲击球状态 if hit1_en=0 then i=2; state=ballmoveto2; else count2=count2+1; i=0; state -进程处于允许乙击球状态 if hit2_en=0then i=5; state=ballmoveto1; else count1=count1+1; i=0; statescore11=00000011;score12score11=00000011;score12score11

15、=00000011;score12score11=00000011;score12score11=00000011;score12score11=00000011;score12score11=00000011;score12score11=00000011;score12score11=00000011;score12score11=00000011;score12score11=10011111;score12score11=10011111;score12score11=00000011;score12score21=00000011;score22score21=00000011;sc

16、ore22score21=00000011;score22score21=00000011;score22score21=00000011;score22score21=00000011;score22score21=00000011;score22score21=00000011;score22score21=00000011;score22score21=00000011;score22score21=10011111;score22score21=10011111;score22score21=00000011;score22=00000011; end case;end process

17、;其中score11和score12代表count1的十位数和个位数,score21和score22代表count2的十位数和个位数.4.1.3按键消抖模块这个模块主要是当我们按下hit1、hit2、reset、serve四个键时要进行消抖,否则我们的开发板将感应不到。主要采用的是计数法消抖,我们让按键持续时间大于N个clk时钟周期时,计数器输出一个单脉冲,否则没有脉冲输出。如果按键开关抖动产生的毛刺宽度小于N个时钟周期,因而毛刺作用不可能使计数器有输出,防抖动目的得以实现。具体代码如下:process(clk)beginifrising_edge(clk) then hit1_en1=hit

18、1;end if;end process;process(clk)beginifrising_edge(clk) then hit1_en2=hit1_en1;end if;end process;hit1_en3= hit1_en1 and not hit1_en2;process(clk)beginifrising_edge(clk) then if hit1_en3=0 then num=num+1; elsenum=0; end if;end if;end process;process(clk)beginifrising_edge(clk) then ifnum=5000000 th

19、en hit1_en=hit1_en1; else null; end if;end if;end process;4.2编译和波形仿真图4-1所示代表乙发球,由light端口输出的高电平会驱动芯片以外的发光二极管使之点亮,这样就可以通过发光二极管模拟乒乓球的运动轨迹。可以看到,在甲该击球的时候没有击球,也就是hit1在state状态6(allow1hit,允许甲击球状态)的时候没有高电平1输入,则算乙得分,count2由0变到1,score22的值随之变化,“30”代表了二进制的“0110000”,就是七段译码器显示1,之后state回到状态0(waitserve,等待发球状态)。从最后一行

20、state值的变化,可以清楚地分析状态转移。图4-1i从8开始依次递减计数,控制发光二极管亮暗的light信号也随着i的数值而变化,如图4-2所示: 图4-2 图4-3是在乙发球以后,甲子正确时刻击球的波形仿真图。甲在state为状态6(allow1hit,允许甲击球状态)的时候击球了,在图上hit1在此时刻出现高电平,看到state转移了状态2(ballmoveto2,球向乙移动状态)当到了状态3(allow2hit,允许乙击球状态)乙没有击球,所以甲得分了,count1由0变到1,而七段译码器随之改变。图4-3图4-4为上图的球的移动轨迹:图4-4 图4-5是仿真甲提早击球的情况,在不允许

21、甲击球的状态下,即state处于状态5(ballmoveto1,球向甲移动状态)时,hit1输入逻辑1,表示甲击球了,所以乙得分。图4-5图4-6为上图的球的移动轨迹:图4-6第五章对本次设计的体会和建议在这个设计中,初步体现了状态机的中心控制作用。通过状态机进程传出的信号,驱动了发光二极管以及七段译码器等外围设备。状态机进程传出的i信号,控制了发光二极管的状态,状态机进程传出的count1和count2信号,控制了七段译码器的显示。如果要用实际电路来实现乒乓球游戏机,就还要将设计下载到芯片中去,并且加上外围电路,这些外围电路包括七段译码器,按键,即便如此,上面的设计还是不够的,还存在两个问题

22、,一个是时钟频率问题,一个是按键问题,但由于本人时间及能力有限,就没多加研究,深感遗憾。本次设计是在指导老师黄敏的指导下完成的。在设计的过程中,黄老师给予了指导,并提供了很多与该设计相关的重要信息,培养了我们对课程设计的兴趣,丰富了我们的知识。这都将非常有利于我们今后的学习和工作。在此表示衷心的感谢!在这里还要感谢一位老师,很抱歉我不知道他的名字,他是带我们进入VHDL世界的人,仅仅两次课让我们了解VHDL的世界,这是我这次课程设计能成功的最主要原因,在这深深表示感谢。另外,在课程设计过程中,我更深刻的了解到计算机是一门很精深的课程,同时也是一个硬件与软件相通的课程,要想很好的学好它,还必须投

23、入更多时间与热情。也了解到很多内容不是光看可不就能学到的,必须高强度的提高动手能力,多去尝试。这些都对我以后的学习有很大的帮助。还有,班上同学同样给与我不少帮助,这里一并表示感谢。参考文献:1 曹昕燕 周凤臣 聂春燕 编著.EDA技术试验与课程设计.M.北京:清华大学出版社 ,2006.52 徐志军 王金明 尹廷辉 徐光辉 苏勇 编著.EDA技术与VHDL设计. M.北京:电子工业出版社,2009.13 刘欲晓 方强 黄宛宁 等编著.EDA技术与VHDL电路开发应用实践.M.北京:电子工业出版社,2009.44 朱正伟 主编.EDA技术及应用M.北京:清华大学出版社,2005.105 潘松 黄继业 编著.EDA技术与VHDL(第2版)M. 北京:清华大学出版社,2007.16 赵明富 刘文进 主编.EDA技术基础M.北京:北京大学出版社,2007.67 柳春锋 主编.电子设计自动化(EDA)教程M. 北京:北京理工大学出版社,2005.88 潘松 黄继业 编著.EDA技术实用教程M.北京:科学出版社,20059 赵明富 主编.EDA技术与实践M.北京:清华大学出版社,2005.1110 邹彦 等编著.EDA技术与数字系统设计M.北京:电子工业出版社,2007.4

copyright@ 2008-2023 冰点文库 网站版权所有

经营许可证编号:鄂ICP备19020893号-2