ImageVerifierCode 换一换
格式:DOCX , 页数:13 ,大小:114.94KB ,
资源ID:5757938      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bingdoc.com/d-5757938.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(硬件电子琴及硬件乐曲演奏电路Word格式文档下载.docx)为本站会员(b****1)主动上传,冰点文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰点文库(发送邮件至service@bingdoc.com或直接QQ联系客服),我们立即给予删除!

硬件电子琴及硬件乐曲演奏电路Word格式文档下载.docx

1、 IF cnt1 2 THEN cnt1 = cnt1 + 1; ELSE = 0; END IF; 1 THEN clk1 = 1; ELSE0 END PROCESS; IF falling_edge(clk_in) THEN IF cnt2 cnt2 = cnt2 + 1 ;= 0 ; END IF ; IF cnt2 1 then clk2 ; END PROCESS ; clk_out = clk1 OR clk2 ; END ;(3).七个中音主程序module beepx(clk,key,beep,led,ledbt); /模块名称beep input clk; /系统时钟12M

2、Hz input7:0key; /按键输入output beep; /蜂鸣器输出端 output7:0led;0ledbt; /LED输出reg beep_r; /寄存器reg15:0count,count_end;reg7:0key_r;0led_bt;always(posedge clk)begin count = count + 1b1; /计数器加1 if(count = count_end)&(!(count_end = 16hffff) begin count = 16h0; /计数器清零 beep_r = !beep_r; /取反输出信号 endendalways (key) k

3、ey_r = key; /取键值 case(key_r) 8b11111110:begin count_end =16h2CCA ; key_r = 8b11111001; led_bt = 8b11111110 ; end /中音1的分频系数值b11111101:h27E8;b10100100;end /中音2的分频系数值b11111011:h238D; key_r = 8b10110000;end /中音3的分频系数值b11110111:h218E;b10011001;end /中音4的分频系数值b11101111:h1DE5;b10010010;end /中音5的分频系数值b110111

4、11:h1AA2;b10000010;end /中音6的分频系数值b10111111: begin count_end =16h17BA;b11111000;end /中音7的分频系数值b01111110:h166B;key_r = 8 end /高音1的分频系数值b01111101:h13F4; end /高音2的分频系数值b01111011:h11C7; end /高音3的分频系数值b01110111:h10C7; end /高音4的分频系数值b01101111:h0EF3; end /高音5的分频系数值b01011111:h0D51; end /高音6的分频系数值b00111111:h0

5、BDD; end /高音7的分频系数值 default:count_end = 16hffff; endcaseassign beep =beep_r; /输出音乐assign led =key_r; /输出按键状态assign ledbt = led_bt ;endmodule2. 硬件乐曲演奏电路。(1)内存分配图(2)主程序library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY buzzer IS PORT ( ch : IN

6、std_logic;-键盘与歌曲播放选择 cho :-歌曲切换 key_data : IN std_logic_vector(2 DOWNTO 0);-电子琴组合键盘输入 clk :-系统时钟 out_bit : OUT std_logic);-输出给蜂鸣器END buzzer;ARCHITECTURE arch OF buzzer ISCOMPONENT liangzhu PORT(address:in std_logic_vector(7 downto 0); clock:in std_logic; q:out std_logic_vector(15 downto 0); END COMP

7、ONENT;signal sta1: std_logic_vector(7 downto 0); signal sta: integer range 0 to 147; signal count: std_logic_vector(15 DOWNTO 0); signal count_end: signal count1: std_logic_vector(23 DOWNTO 0); signal out_bit_temp : std_logic; signal key_temp : std_logic_vector(2 DOWNTO 0); signal count_end_temp : s

8、ignal choice :-音调分频数 CONSTANT suo0 : std_logic_vector(15 DOWNTO 0) :=1110111100101000-61224 CONSTANT la0 :1101010100010001-54545 CONSTANT xi0 :1011110111010001-48593 CONSTANT duo :1011001100100111-45863 CONSTANT lai := 1001111110100000-40864 CONSTANT me :1000111000110010-36402 CONSTANT fa :100001100

9、0110111-34359 CONSTANT suo :0111011110010100-30612 CONSTANT la :0110101010001001-27273010* CONSTANT suo1 :0011101111001010-15306 CONSTANT la1 :0011010101000100-13636 CONSTANT xi1 :0010111101110111-12151 CONSTANT duo2 :0010101001100011-10851 CONSTANT t : std_logic_vector(23 DOWNTO 0) :101101110001101

10、100000000 out_bit=out_bit_temp; key_temp=key_data; PROCESS(cho)-歌曲间切换 IF(choEVENT AND cho=)THEN choice=NOT choice; PROCESS(clk)-分频后的音调输出控制 IF(clkEVENT AND clk=count+1; IF (count = count_end) THEN count =x0000 out_bit_tempsta1,q=count_end_temp,clock=clk);-与liangzhu.vhd的接口 PROCESS(clk,ch,choice,cho)-音

11、调单位时长和曲谱依次播放(sta自加)控制 IF(ch=)THEN-键盘与歌曲播放切换 IF(clk IF(count1t) THEN count1=count1+1; ELSE000000 IF(choice= sta=0; IF(sta1=10001010)THEN sta100000000 ELSE=sta1+1; count_end=count_end_temp;-梁祝曲谱信息赋给count_end END IF; sta1 IF(sta=147)THEN stacount_end=duo; WHEN 9|10=me; WHEN 11 TO 14=lai; WHEN 15= WHEN

12、16|17= WHEN 18|19= WHEN 20 TO 24= WHEN 25|26= WHEN 27|28=suo; WHEN 29 TO 33=la; WHEN 34 TO 38= WHEN 39 TO 42= WHEN 43 TO 45= WHEN 46|47= WHEN 48 TO 51= WHEN 52= WHEN 53|54= WHEN 55|56= WHEN 57 TO 60= WHEN 61 TO 63=la0; WHEN 64 TO 65= WHEN 66 TO 69= WHEN 70 TO 73= WHEN 74|75= WHEN 76 TO 79= WHEN 80 T

13、O 82= WHEN 83|84= WHEN 85 TO 88= WHEN 89= WHEN 90|91= WHEN 92|93= WHEN 94 TO 97= WHEN 98 TO 100= WHEN 101|102= WHEN 103 TO 106= WHEN 107 TO 110= WHEN 111|112=duo1; WHEN 113 TO 116= WHEN 117 TO 119= WHEN 120|121= WHEN 122 TO 125= WHEN 126= WHEN 127|128= WHEN 129|130= WHEN 131 TO 134= WHEN 135 TO 137=

14、 WHEN 138|139= WHEN 140 TO 143= WHEN 144 TO 147= WHEN OTHERS =(others=); END CASE; END IF; CASE key_temp IS-组合琴键设置 WHEN 011 =101110001=fa;100010000=xi; WHEN OTHERS = (others= END CASE; END PROCESS; END arch;五、实验现象:按键1到7表示七个音符,当按下一个按键时发出对应的音符声音,并且在第一位数码管上显示对应的数字。让程序运行后使蜂鸣器播放一段音乐,并且通过按键可对其进行暂停、播放、切换等操作。六、实验感想:通过这次试验让我更加认识到EDA在多方面的应用,以及了解一些相关的编程,提高了我对实验箱的操作能力。为即将进行的毕业设计做了良好的基础。

copyright@ 2008-2023 冰点文库 网站版权所有

经营许可证编号:鄂ICP备19020893号-2