ImageVerifierCode 换一换
格式:DOCX , 页数:18 ,大小:42.58KB ,
资源ID:5765044      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bingdoc.com/d-5765044.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(EDA技术复习要点课件Word格式.docx)为本站会员(b****1)主动上传,冰点文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰点文库(发送邮件至service@bingdoc.com或直接QQ联系客服),我们立即给予删除!

EDA技术复习要点课件Word格式.docx

1、IN STD_LOGIC_VECTOR(1 DOWNTO 0); -输入选择信号 a,b,c,d:IN STD_LOGIC; -输入信号 y:OUT STD_LOGIC);-输出端END ENTITY;ARCHITECTURE ART OF MUX41 ISBEGINPROCESS(s)IF (S=00) THEN y=a;ELSIF (S=01) TH EN y=b;10=c;11=d;ELSE y yWHEN “10” =WHEN “11” =WHEN OTHERS =NULL;END CASE;END PROCESS;4-3. 图4-38所示的是双2选1多路选择器构成的电路MUXK,对于

2、其中MUX21A,当s=和时,分别有y=a和yb。试在一个结构体中用两个进程来表达此电路,每个进程中用CASE语句描述一个2选1多路选择器MUX21A。4-3.答案ENTITY MUX221 ISPORT(a1,a2,a3: s0,s1: outy:ARCHITECTURE ONE OF MUX221 ISSIGNAL tmp : STD_LOGIC;PR01:PROCESS(s0)IF s0=”0” THEN tmp=a2;ELSE tmp=a3;PR02:PROCESS(s1)IF s1=”0” THEN outy=a1;ELSE outy=tmp;END ARCHITECTURE ONE

3、;4-4.下图4-39是一个含有上升沿触发的D触发器的时序电路,试写出此电路的VHDL设计文件。4-4.答案ENTITY MULTI ISPORT(CL: CLK0: OUT1:ARCHITECTURE ONE OF MULTI ISSIGNAL Q : PROCESS(CLK0)IF CLK EVENT AND CLK=1THEN Q=NOT(CL OR Q);ELSEOUT1=Q;4-5.给出1位全减器的VHDL描述。要求: (1) 首先设计1位半减器,然后用例化语句将它们连接起来,图3-32中h_suber是半减器,diff是输出差,s_out是借位输出,sub_in是借位输入。(2)

4、以1位全减器为基本硬件,构成串行借位的8位减法器,要求用例化语句来完成此项设计(减法运算是 x y - sun_in = diffr)4-5.答案底层文件1:or2a.VHD实现或门操作USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY or2a ISPORT(a,b: c:END ENTITY or2a;ARCHITECTURE one OF or2a ISc = a OR b;END ARCHITECTURE one;底层文件2:h_subber.VHD实现一位半减器ENTITY h_subber ISPORT(x,y:diff,s_out:END ENTITY

5、h_subber;ARCHITECTURE ONE OF h_subber ISSIGNAL xyz: STD_LOGIC_VECTOR(1 DOWNTO 0);xyz diff;s_outx,y=y,diff=d,s_out=e);u2:d,y=sub_in,diff=diffr,s_out=f);u3: or2a PORT MAP(a=f,b=e,c=sub_out);END ARCHITECTURE ART;4-6.根据图4-41,写出顶层文件MX3256.VHD的VHDL设计文件。4-6.答案MAX3256顶层文件ENTITY MAX3256 ISPORT (INA,INB,INCK:

6、INC:E,OUT:END ENTITY MAX3256;ARCHITECTURE ONE OF MAX3256 ISCOMPONENT LK35 -调用LK35声明语句PORT(A1,A2:CLK:Q1,Q2:COMPONENT D -调用D触发器声明语句PORT(D,C:Q:COMPONENT MUX21-调用二选一选择器声明语句PORT(B,A:S:C:SIGNAL AA,BB,CC,DD: LK35 PORT MAP(A1=INA,A2=INB,CLK=INCK, Q1=AA,Q2=BB); D PORT MAP(D=BB;CLK=INCK,C=INC,Q=CC); LK35 PORT

7、 MAP (A1=BB,A2=CC,CLK=INCK, Q1=DD,Q2=OUT1);u4: MUX21 PORT MAP (B=AA,A=DD,S=BB,C=E);4-7 用例化语句写出下图所示的的顶层文件1、首先编写底层元件adder_1,使其为带使能控制端口的半加器。ENTITY adder_1 IS PORT (a, b : co, so :END ENTITY adder_1;ARCHITECTURE fh1 OF adder_1 is so = NOT(a XOR (NOT b) ; co a1,y=a0,cin=ci,sum=s1;cout=temp);b1,y=b0,cin=t

8、emp,sum=s2;co);end one;第五章 5-1 什么是固有延时?什么是惯性延时?P139答:固有延时(Inertial Delay)也称为惯性延时,固有延时的主要物理机制是分布电容效应。 5-2 是什么?在VHDL中,有什么用处?P140是什么? 答:在VHDL仿真和综合器中,默认的固有延时量(它在数学上是一个无穷小量),被称为延时。在VHDL信号赋值中未给出固有延时情况下,VHDL仿真器和综合器将自动为系统中的信号赋值配置一足够小而又能满足逻辑排序的延时量;使并行语句和顺序语句中的并列赋值逻辑得以正确执行。 5-4 说明信号和变量的功能特点,以及应用上的异同点。P117P118

9、变量:变量是一个局部量,只能在进程和子程序中使用。变量不能将信息带出对它做出定义的当前结构。变量的赋值是一种理想化的数据传输,是立即发生的,不存在任何延时行为。变量的主要作用是在进程中作为临时的数据存储单元。信号:信号是描述硬件系统的基本数据对象,其性质类似于连接线;可作为设计实体中并行语句模块间的信息交流通道。信号不但可以容纳当前值,也可以保持历史值;与触发器的记忆功能有很好的对应关系。 5-5 在VHDL设计中,给时序电路清零(复位)有两种力方法,它们是什么?解:设Q定义成信号,一种方法:Q0);其中OTHERS=0不需要给出信号Q的位宽度,即可对Q清零。 5-6 在描述时序电路的进程中,

10、哪一种复位方法必须将复位信号放在敏感信号表中?给出这两种电路的VHDL描述。边沿触发复位信号要将复位信号放在进程的敏感信号表中。(1)边沿触发复位信号. ARCHITECTURE bhv 0F DFF3 IS SIGNAL QQ:STD_LOGIC; BEGIN PROCESS(RST) IF RSTEVENT AND RST=1 THEN QQ0); END IF; END PROCESS; Q1=QQ; END;(2)电平触发复位信号 PROCESS(CLK) IF RST=15-7 什么是重载函数?重载算符有何用处?如何调用重载算符函数?(1)什么是重载函数? 根据操作对象变换处理功能。

11、 (2)重载算符有何用处? 用于两个不同类型的操作数据自动转换成同种数据类型,并进行运算处理。 (3)如何调用重载算符函数?采用隐式方式调用,无需事先声明。 5-8 判断下面三个程序中是否有错误,若有则指出错误所在,并给出完整程序。 程序1: Signal A,EN : std_logic; Process(A, EN) Variable B: std_log ic; Begin if EN=l then B=A; end if; -将“B=A”改成“B:=A” end process; 程序2: Architecture one of sample is variable a,b,c:int

12、eger; begin c=a+b; -将“c=a+b”改成“c:=a+b” end; 程序3: library ieee; use ieee.std_logic_1164.all; entity mux21 is PORT(a,b: sel:in std_loglc;out std_logle;); -将“;)”改成“)” end sam2; -将“sam2”改成“entity mux21” architecture one of mux2l is -增加“process(a,b,sel) begin” if sel= then c: else c: -应改成“if sel= then c

13、else c” -增加“end process; end two; -将“two”改成“architecture one”5-9 设计含有异步清零和计数使能的16位二进制加减可控计数器。5-9.答案:ENTITY CNT16 ISPORT(CLK,RST,EN: CHOOSE:IN BIT; SETDATA:BUFFER INTEGER RANCE 65535 DOWNTO 0; COUT: BUFFER INTEGER RANCE 65535 DOWNTO 0);END CNT16;ARCHITECTURE ONE OF CNT16 IS PROCESS(CLK,RST,SDATA) VAR

14、IABLE QI:STD_LOGIC_VECTOR(65535 DOWNTO 0);IF RST= THEN -计数器异步复位 QI:ELSIF SET=1 THEN-计数器一步置位QI:=SETDATA;ELSIF CLKEVENT AND CLK= THEN -检测时钟上升沿 IF EN=1 THEN 检测是否允许计数IF CHOOSE=1 THEN -选择加法计数 =QI+1; -计数器加一 ELSE QI=QI-1; COUT=QI;-将计数值向端口输出END ONE;复习重点第二部分:一下为本书中必须掌握的主要知识点,大家对照课本进行总结,深入领会1、 从执行方式看VHDL的描述语句

15、包括那些描述语句?2、 目前流行的硬件描述语言有那些?3、 MAX+PLUS2中各种文件的扩展名有哪些?4、 基于MAX+PLUS2的设计流程5、 目前较流行的EDA设计软件有那些?6、 可编程逻辑器件的分类?按照变成工艺分哪些类。VHDL程序设计中常用的库有那些?哪些库是显式(默认打开的)的,哪些是隐式的?设计的现行工作库是什么?7、 程序包由那两部分组成?分别有什么作用?8、 常用的预定义程序包有哪些?如何调用?9、 目前国际上较大的EDA器件制造公司有那些?10、 VHDL常用的预定义数据类型有哪几种,分别在哪些程序包中?11、 数据类型的转换有哪几种方法?12、 可以构成标识符的字符有

16、?13、 可编程器件(PLD)分为哪两类14、 标准逻辑位数据类型常用的数值有哪几种?15、 完整的条件语句将产生什么电路,不完整的条件语句将产生什么电路。16、 信号和变量有什么区别?P12117、 VHDL作为工业标准,是由那个机构制定并公布的。18、 实体部分的端口模式有四个类型。19、 从执行方式看VHDL的基本描述语句包括哪两大基本描述语句?20、 VHDL文件存盘时,其主文件名应与实体名一致,扩展名应为什呢21、 硬件描述语言(HDL)的种类很多?22、 EDA技术的含义。23、 目前较流行的集成EDA开发环境(软件)有那些?24、 简述EDA技术的CPLD/FPGA的设计流程。2

17、5、 写出实体中的PORT语句结构并说明其作用。26、 简述EDA技术经历了那几个发展阶段。27、 写出元件例化语句语句格式,并说明其作用。28、 试比较图形输入法和文本输入法有何优缺点?29、 结构体的语言格式与作用。30、 写出PROCESS语句结构的一般表达格式?31、 进程语句的设计(或使用)要点?32、 并行信号赋值语句有哪几种?其语句格式为何?33、 EDA技术常用的输入方法有?34、 什么是实体和结构体,其功能是什么?,35、 MAX+puls的原理图输入法、文本输入法、波形输入法生成的文件扩展名为?36、 VHDL的操作符有那几大类?每一类的操作符分别是什么?每一类操作符可以对那些数据进行操作(运算)?37、 VHDL操作符的优先级?38、 结构体常见的功能语句有那些?39、 子程序分为那两类,其结构为什么。P152-15340、 VHDL的标识符由什么构成。41、 CASE语句的一般形式?在使用当中的注意事项。P125-12642、 试着比较IF和CASE语句的差异?P12743、 FOR循环语句的一般形式?44、 VHDL数据对象有哪几种?其语句格式如何45、 变量和信号的区别?46、 VHDL常用的数据有哪些?枚举型的数据类型?47、 实现时序电路和逻辑组合电路分别用什

copyright@ 2008-2023 冰点文库 网站版权所有

经营许可证编号:鄂ICP备19020893号-2