ImageVerifierCode 换一换
格式:DOCX , 页数:56 ,大小:5.88MB ,
资源ID:5769236      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bingdoc.com/d-5769236.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(摩尔定律全靠它CPU光刻技术分析与展望文档格式.docx)为本站会员(b****2)主动上传,冰点文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰点文库(发送邮件至service@bingdoc.com或直接QQ联系客服),我们立即给予删除!

摩尔定律全靠它CPU光刻技术分析与展望文档格式.docx

1、目前大部分芯片制造工艺采用了248nm和193nm光刻技术。目前对于13.5nm波长的EUV极端远紫外光刻技术研究也在提速前进。1997年,IBM公司开发出芯片铜互联技术 随着芯片集成度的提高,对光刻技术提出了越来越高的要求。在上世纪80年代,普遍认为光学光刻技术所能达到的极限分辨率为0.5,但是随着一些新技术的应用和发展,包括光源、成像透镜、光致抗蚀剂、分步扫描技术以及光刻分辨率增强技术(RET)的发展,使其光刻极限已推进到目前的0.1以下。尽管有人对光学光刻的潜力充满怀疑,但其仍以顽强的生命力,不断突破所谓的极限分辨率,是目前所采用的主流光刻技术。Intel提供的一整块300mm晶圆与一个

2、65nm工艺制造晶体管 光刻技术是集成电路的关键技术之一,它在整个产品制造中是重要的经济影响因子,光刻成本占据了整个制造成本的35。光刻也是决定了集成电路按照摩尔定律发展的一个重要原因,如果没有光刻技术的进步,集成电路就不可能从微米进入深亚微米再进入纳米时代。NextPage 半导体芯片生产工序简析 要了解芯片的生产工艺,我们需要先知道芯片是怎么被制造出来的。让我们分几个步骤学习芯片的生产过程。 1、硅提纯 生产芯片等芯片的材料是半导体,现阶段主要的材料是硅Si,这是一种非金属元素,从化学的角度来看,由于它处于元素周期表中金属元素区与非金属元素区的交界处,所以具有半导体的性质,适合于制造各种微

3、小的晶体管,是目前最适宜于制造现代大规模集成电路的材料之一。 在硅提纯的过程中,原材料硅将被熔化,并放进一个巨大的石英熔炉。这时向熔炉里放入一颗晶种,以便硅晶体围着这颗晶种生长,直到形成一个几近完美的单晶硅。以往的硅锭的直径大都是200毫米,而芯片厂商正在增加300毫米晶圆的生产。单晶硅硅锭 2、切割晶圆 硅锭造出来了,并被整型成一个完美的圆柱体,接下来将被切割成片状,称为晶圆。晶圆才被真正用于芯片的制造。所谓的“切割晶圆”也就是用机器从单晶硅棒上切割下一片事先确定规格的硅晶片,并将其划分成多个细小的区域,每个区域都将成为一个芯片的内核(Die)。一般来说,晶圆切得越薄,相同量的硅材料能够制造

4、的芯片成品就越多。ORION 单晶圆清洗系统 3、影印(Photolithography) 在经过热处理得到的硅氧化物层上面涂敷一种光阻(Photoresist)物质,紫外线通过印制着芯片复杂电路结构图样的模板照射硅基片,被紫外线照射的地方光阻物质溶解。而为了避免让不需要被曝光的区域也受到光的干扰,必须制作遮罩来遮蔽这些区域。这是个相当复杂的过程,每一个遮罩的复杂程度得用几十个GB数据来描述。 4、蚀刻(Etching) 这是芯片生产过程中重要操作,也是芯片工业中的重头技术。蚀刻技术把对光的应用推向了极限。蚀刻使用的是波长很短的紫外光并配合很大的镜头。短波长的光将透过这些石英遮罩的孔照在光敏抗

5、蚀膜上,使之曝光。接下来停止光照并移除遮罩,使用特定的化学溶液清洗掉被曝光的光敏抗蚀膜,以及在下面紧贴着抗蚀膜的一层硅。AMDGlobalFoundries德国德累斯顿工厂光刻区域(驱动之家图片) 然后,曝光的硅将被原子轰击,使得暴露的硅基片局部掺杂,从而改变这些区域的导电状态,以制造出N井或P井,结合上面制造的基片,芯片的门电路就完成了。 5、重复、分层 为加工新的一层电路,再次生长硅氧化物,然后沉积一层多晶硅,涂敷光阻物质,重复影印、蚀刻过程,得到含多晶硅和硅氧化物的沟槽结构。重复多遍,形成一个3D的结构,这才是最终的芯片的核心。每几层中间都要填上金属作为导体。层数决定于设计时芯片的晶体管

6、布局和晶体管规模,以及通过的电流大小。 6、封装 这时的芯片是一块块晶圆,它还不能直接被用户使用,必须将它封入一个陶瓷的或塑料的封壳中,这样它就可以很容易地装在一块电路板上了。封装结构各有不同,但越高级的芯片封装也越复杂,新的封装往往能带来芯片电气性能和稳定性的提升,并能间接地为主频的提升提供坚实可靠的基础。 7、多次测试 测试是一个芯片制造的重要环节,也是一块芯片出厂前必要的考验。这一步将测试晶圆的电气性能,以检查是否出了什么差错,以及这些差错出现在哪个步骤(如果可能的话)。接下来,晶圆上的每个芯片核心都将被分开测试。芯片在通过一次次测试 由于SRAM(静态随机存储器,芯片中缓存的基本组成)

7、结构复杂、密度高,所以缓存是芯片中容易出问题的部分,对缓存的测试也是芯片测试中的重要部分。 每块芯片将被进行完全测试,以检验其全部功能。某些芯片能够在较高的频率下运行,所以被标上了较高的频率;而有些芯片因为种种原因运行频率较低,所以被标上了较低的频率。最后,个别芯片可能存在某些功能上的缺陷,如果问题出在缓存上,制造商仍然可以屏蔽掉它的部分缓存,这意味着这块芯片依然能够出售,只是它可能是Celeron等低端产品。 当芯片被放进包装盒之前,一般还要进行最后一次测试,以确保之前的工作准确无误。根据前面确定的最高运行频率和缓存的不同,它们被放进不同的包装,销往世界各地。 光刻技术的组成与关键点 光刻的

8、基本原理是利用光致抗蚀剂(或称光刻胶)感光后因光化学反应而形成耐蚀性的特点,将掩模板上的图形刻制到被加工表面上。 光刻半导体芯片二氧化硅的主要步骤是: 1、涂布光致抗蚀剂; 2、套准掩模板并曝光; 3、用显影液溶解未感光的光致抗蚀剂层; 4、用腐蚀液溶解掉无光致抗蚀剂保护的二氧化硅层; 5、去除已感光的光致抗蚀剂层。光刻技术的原理 光刻技术的不断发展从三个方面为集成电路技术的进步提供了保证:其一是大面积均匀曝光,在同一块硅片上同时做出大量器件和芯片,保证了批量化的生产水平;其二是图形线宽不断缩小,使用权集成度不断提高,生产成本持续下降;其三,由于线宽的缩小,器件的运行速度越来越快,使用权集成电

9、路的性能不断提高。随着集成度的提高,光刻技术所面临的困难也越来越多。光刻技术面临的困难与挑战32纳米内容概要光学掩膜版图形分辨率加强技术的研发和后光学成像技术掩膜版的制造控制图形的对准,线宽和缺陷,使用亚分辨率辅助图形技术;掌握曝光过程中缺陷的产生;制订193nm工艺平台上实现小于45纳米半间距线宽工艺图形所需掩膜版的放大倍率,并研发基于小像场使用的补偿模式;制造用于后光学成像技术的1倍五缺陷膜版成本控制和投资回报控制设备、工艺的投入产出比,制造成本可接受且适用的光学掩膜版和用于后光学成像技术的掩膜版;合理调配资源,杜绝浪费,研发450mm硅片生产设备工艺控制控制栅电极的线宽变化4nm,研发新

10、的图形对准技术11nm;控制线宽边缘粗糙度表现;控制测量引入线宽变化和缺陷50nm;采用更精确的光刻胶模型,采用更精确的OPC模型,并基于光学极化效应确认其表现;控制并校正光刻设备的光散射,尤其针对极紫外线光刻设备;采用利于光刻工艺的设计和成产要求优化的设计方案沉浸式光刻技术控制沉浸式光刻技术生产中产生的缺陷、研发、优化光刻胶的组成,使之具备和液体以及顶部疏水层良好的兼容性,研发折射率1.8的光刻胶;折射率1.65的浸没液体以及折射率1.65的光学镜头材料极紫外线光刻技术制造低缺陷密度的掩膜基板;研发功率115瓦的光源系统以及长寿命低损耗的光学部件;研发线宽边缘粗糙度3nm,感光灵敏度10ml

11、/cm2;分辨率40纳米半间距线宽工艺图形的光刻胶;制造0.01nm均方根误差和小于10%本征光散射的光学部件;控制光学部件的污染,研究不使用有机保护薄膜的掩膜版保护;研究与光学成像工艺生产设备的兼容性 光刻系统的组成: 光刻机是一种曝光工具,这是光刻工程的核心部分,其造价昂贵,号称世界上最精密的仪器,目前世界是已有7000万美金的光刻机。光刻机堪称现代光学工业之花,其制造难度之大,到现在全世界也不过两三家公司能够制造而已。 掩膜版 光刻胶(常伴随着光刻机的发展而前进,在一定程度上其也制约着光刻工艺的发展)ASML-XT1950i-EUV光刻机 光刻技术主要指标: 分辨率W(resolutio

12、n)- 光刻系统所能分辨和加工的最小线条尺寸 焦深(DOF-Depth Of Focus)- 投影光学系统可清晰成像的尺度范围 关键尺寸(CD-Critical Dimension)控制 对准和套刻精度(Alignment and Overlay) 产率(Throughout)价格 其中,W是决定光刻系统最重要的指标,也是决定芯片最小特征尺寸的原因。其由瑞利定律决定:R= k1r/NA,其中r是光刻波的波长。 提高光刻分辨率的途径: 减小波长r,其中,光刻加工极限值:r/2 ,即半波长的分辨率 增加数值孔径 优化系统设计(分辨率增强技术) 减小k1主流光刻技术: 248nm DUV技术 (Kr

13、F准分子激光)- 0.10um 特征尺寸 193nm DUV技术 (ArF准分子激光)- 90nm特征尺寸 193nm 沉浸式技术 (ArF准分子激光)- 65nm特征尺寸半导体工艺的不断进步由光刻工艺决定 新一代的替代光刻技术: 157nm F2 EUV光刻 紫外线光刻 电子束投影光刻 X射线光刻 离子束光刻 纳米印制光刻 光学透镜 透射式透镜(248nm、193nm) 反射式透镜(157nm) 由透光的衬底材料(石英玻璃)和不透光金属吸收层材料(主要是金属Cr)组成。 通常要在表面淀积一层抗深紫外光损伤的增光型保护涂层尼康最近推出的新款193nm沉浸式光刻机 分辨率增强技术(RET): S

14、tep-Scan 技术 偏轴照明(OAI) 邻近效应校正(OPC) 移相掩膜(PSM) 具有化学增强放大功能的快速感光光刻胶 光刻胶修剪(Resist Trimming) 抗反射功能和表面感光后的多层光刻胶 光波的特性与蚀刻 在了解几种目前活跃的光刻技术之前,我们先来了解光波的特性。光波有多种频率。频率是指任意时间间隔内(通常为一秒钟)通过空间中某一点的波数。它的计量单位是周(波)/秒,或赫兹(Hz)。可见光的频率称为颜色,范围是430万亿Hz(红色)到750万亿Hz(紫罗兰色)。当然,频率的总范围超出可见光谱之外,从不足十亿Hz的无线电波到超过30亿Hz的伽马射线。光波的频率与能量 如上文所

15、述,光波是能量波。光波的能量大小与其频率成一定比例:高频光的能量较高,低频光的能量较低。因此,伽马射线的能量最高,无线电波的能量最低。在可见光中,紫光能量最大,而红光能量最小。EUV极端远紫外光所处的位置 上图中,我们可以明确看到EUV极端远紫外光在光谱中的位置,这是一种波长极短的光刻技术,其曝光波长大约为13.5nm。按照目前理论上认为的波长与蚀刻精度关系,EUV技术能够蚀刻出5nm以下工艺的晶体管。 随着集成电路产品技术需求的提升,光刻技术也不断地提高分辨率,以制作更微细的器件尺寸。全球光刻技术的进程。传统上提高光刻技术的分辨率无非是缩短曝光波长及增大镜头的数值孔径NA,通常缩短波长是最有

16、效的方法之一。 但是目前在缩短波长方面,各家光刻设备商都遇到的困境,或者说缩短波长已经成为整个行业最大的挑战。在各种活跃的光刻技术中,EUV技术拥有最短的曝光波长,但是目前推进非常艰难,而193nm传统光学光刻技术虽然老迈,但是加入了沉浸式技术配合之后,已经能够延伸到22nm左右工艺中。 最为活跃的193nm浸入式光刻技术简介 直至2002年底浸入式技术迅速成为光刻技术中的新宠,而此前业界并没有认为浸入式技术有如此大的功效。因为此种技术的原理清晰及配合现有的光刻技术变动不大,获得了人们的极大赞赏。传统干式光刻技术 在传统的光刻技术中,其镜头与光刻胶之间的介质是空气,而所谓浸入式技术是将空气介质

17、换成液体。实际上,浸入式技术利用光通过液体介质后光源波长缩短来提高分辨率,其缩短的倍率即为液体介质的折射率。例如,在193nm光刻机中,在光源与硅片(光刻胶)之间加入水作为介质,而水的折射率约为1.4,则波长可缩短为193/1.4=132nm。浸入式光刻技术原理 如果放的液体不是水,或者是其它液体,但折射率比1.4高时,那实际分辨率可以非常方便地再次提高,这也是浸入式光刻技术能很快普及的原因。 浸入式技术目前采用的是两次去离子的蒸馏水,碰到主要的问题如下: 在浸入式光刻机系统中,由于多种原因都可能产生气泡,如减压、气泡表面的空气渗透、硅片表面的空气吸入或者与光刻胶表面的作用等。曾经作了气泡从形

18、成到破裂的寿命试验,实验发现(包括理论的估计)微细气泡的寿命正比于它的直径,许多微细气泡在破裂之前实际己经分解。 193nm浸入式光刻技术是所有活跃的光刻技术中最为长寿最富有竞争力的,从这项技术一经提出,就获得了全球半导体厂商的一致认可。因为它的构成方法可行并且投入小,除了节省设备制造商以及制程采用者大量研发及导入成本之外,它还击败开发过程问题重重的157nm光源的干式光刻技术。 生不逢时的157nm干式光刻技术 157nm光刻,传统上被称为光学方法的极限,其光源采用氟气准分子激光,发出波长157nm附近的真空紫外光。总的来说,目前氟气准分子激光器功率己可达20W,157nm光刻尚处在研发之中

19、。 继深紫外光(193nm)光刻技术之后,真空紫外光刻技术快速发展,最初的应用目标是65 纳米技术节点。其光源采用氟气准分子激光,激发出波长157nm附近的真空紫外光,目前氟气准分子激光器已经商品化,商业上已生产出20 瓦功率的157 纳米激光器。 波长短到157nm时,大多数的光学镜片材质在短波长下都是高吸收状态,会将激光的能量吸收,受热膨胀的影响而造成球面像差。而氟化钙为低吸收材质,便成为157nm光刻技术中光学镜片的主要材质。近年来氟化钙镜片的研磨技术愈来愈成熟,镜片的表面粗糙度已经可以小于0.2nm,其吸收系数可至0.001cm-1。ITRS 2005路线图实际上已经把157nm光刻技

20、术抛弃 目前157nm光刻的主要困难如下: 当波长短到157nm时,大多数的光学镜头材料都是高吸收态,易将激光的能量吸收,受热膨胀后而造成球面像差。目前只有氟化钙为低吸收材料,可供157nm使用。目前二氟化钙镜头结构在双折射等技术问题方面尚无法解决,加之产量需求少,而投入非常大。造成成本昂贵。 有机材料的软Pellicle不可能承受157nm的辐射(因辐射吸收热量太大),而无机材料的硬Pellicles必须用熔融的石英材料经特殊的加工制成,加工成非常薄的材料非常困难,800m的厚度就可能因为重力而下垂。 2003年对于全球半导体工业是个值得回忆的年份,5月份Intel公司突然宣布放弃157nm

21、技术,将继续使用193nm浸入式光刻技术进行65nm及45nm的制程,并继续拓展193nm浸入式光刻技术,使之能够适应更深层次的工艺需求,同时计划采用极短紫外光(EUV)来制作22nm以下的制程。 Intel的此举尤如重量级炸弹一样,因为实则上将157nm技术跳了过去。众所周知,Intel是全球光刻设备最大的买主,Intel的任何动作,将在全球半导体业界引起极大的反响。而不采购157nm光刻相关设备,则意味着Intel放弃了这个被称为传统意义上光学极限的光刻技术。 尽管Intel宣布决定放弃157nm光刻,但是业界在157nm光刻技术的进程并没有因此停顿,至少在32nm光刻技术的选择方法中是一

22、个重要的筹码,因为157nm也能附加浸入式技术而提高分辨率。 前景光明的EUV极端远紫外光刻技术 随着光刻技术的进步,在157nm之后人们称之为下一代光刻技术(NGL)。其中EUV是最有前途的方法之一,也是今天我们讨论的主角。EUV技术最明显的特点是曝光波长一下子降到13.5nm,在如此短波长的光源下,几乎所有物质都有很强的吸收性,所以不能使用传统的穿透式光学系统,而要改用反射式的光学系统,但是反射式光学系统难以设计成大的NA,造成分辨率无法提高。EUV光刻技术正在飞速发展 EUV技术还有些其它优点,如可通用KrF曝光中的光刻胶以及由于短波长,不需要使用OPC(光邻近效应的图形补偿)技术等,大

23、大降低了掩模成本。 EUV技术的主要挑战如下: 美国Cymer公司从1997年起就开始EUV光源的研制,目前的技术路线有三种:第一种源自Cymer的高密度等离子体激光器;第二种是放电型等离子体激光器(DPP);第三种是基于激光产生等离子体(LPP)技术。为实现芯片批量生产需要高功率的激光器,同时又是降低EUV光刻机的关键。目前EUV光源的功率己可达10W,试验样机的要求是30W,而真正满足批量生产要求是100W。 在EUV光刻技术中,由于掩模是采用反射式(通常都是穿透式),所以掩模的制作十分困难。一般采用80层堆叠的Mo/Si薄膜,每一个Mo(钼)层与Si(硅)层的厚度分别为2.8nm及4.0

24、nm。而且要求每层必须绝对平滑,误差只容许一个原子大小,所以如何制作多层涂布低缺陷的掩模仍是个大挑战。目前认为在掩模上的颗粒尺寸在50nm时就无法接受,所以通常要采用掩模修正技术,如离子铣,或者用电子束在局部区域加热气化修正多余的图形等。另外涉及到掩模的储存、运输及操作也非常困难。 从EUV辐射的残骸可能破坏EUV系统的光学镜片,作为近期目标,镜片的寿命至少要几个月。业界为了EUV,即下一代光刻技术付出了许多努力,如美国的EUVLLC、欧洲的EU41C、日本的ASET及EUVA等公司。 EUV技术原理浅析 为了继续缩小线宽,扩大芯片容量,人们一直在开发新的集成电路生产技术。如:X射线接近式光刻

25、、电子束投影光刻、离子柬投影光刻和软X射线投影光刻等。为了强调软X射线投影光刻与现有光刻的连续性,现在普遍称其为“极紫外投影光刻”。极紫外投影光刻EUV的几个关键技术已经突破,最有希望成为下一代集成电路的生产技术。它采用13nm的工作波长,理论上适用于线宽22nm以下的集成电路生产。 EUV是目前距实用话最近的一种深亚微米的光刻技术。他仍然采用前面提到的分步投影光刻系统,只是改变光源的波长,即采用波长更短的远紫外线。目前已经采用248nm、193nm的准分子激光光刻出0.18um的细线条,在采用近程校正、移相掩膜等新技术后可达到0.15um。波长为157nm的准分子激光光刻技术也将近期投入应用

26、。如果采用波长为13nm的EUV,则可得到0.1um的细条。采用的EUV进行光刻的主要难点是很难找到合适的制作掩膜版的材料和光学系统。Intel巨资开发的Intels Micro Exposure Tool(MET) 关于EUV理论上的探讨和初步的实验在 80年代中期就有学者做过相关工作。但一直到90年代末期,芯片工艺的飞速发展以及微缩过程中所遇到的种种难题才使得工业界产生了紧迫感。而且集成电路发展的过程也清楚地显示,如果不对当前的芯片工艺做大刀阔斧的改进,尽快地推出EUV工艺,摩尔定律甚至整个芯片工业都将面临前所未有的危机。IMEC开发的EUV alpha demonstration tool 1997年由Intel、AMD、Micron、Motorola、SVGL、USAL、ASML组成极紫外有限公司(EUVLLC)和在加州的三个国家实验室成立。 EUV系统主要由四部分构成: 极端紫外光源 反射投影系统 光刻模板(mask) 能够用于极端紫外的光刻涂层(photo-resist)EUV光刻技术原理 无论是哪个部分,传统的光刻工艺都无用武之地,需要重新设计。 极端紫外光源非常难设计,现有的激光器在极端紫外光谱输出功率低,无法达到光刻所需的能量要求。而让问题变得更复杂的

copyright@ 2008-2023 冰点文库 网站版权所有

经营许可证编号:鄂ICP备19020893号-2