ImageVerifierCode 换一换
格式:DOCX , 页数:18 ,大小:293.14KB ,
资源ID:6066214      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bingdoc.com/d-6066214.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(基于DDS的数字移相信号发生器.docx)为本站会员(b****3)主动上传,冰点文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰点文库(发送邮件至service@bingdoc.com或直接QQ联系客服),我们立即给予删除!

基于DDS的数字移相信号发生器.docx

1、基于DDS的数字移相信号发生器EDA课程设计课题名称_ 基于DDS的数字移相信号发生器 专 业_ 电子信息工程_ _ _ 班 级_ _ _ _ _ 学 号_ 姓 名_ _ _ 成 绩_ _ _ 指导教师_ _ _ _ 2014年 5 月7日 一、课程设计目的1、进一步熟悉Quartus 的软件使用方法;2、熟悉利用VHDL设计数字系统并学习LPM_ADD_SUB、LPM ROM、LPM_FF的使用方法;3、学习FPGA硬件资源的使用和控制方法;4、 掌握DDS基本原理,学习利用此原理进行信号发生器的设计二、设计任务完成10位输出数据宽度的频率可调的移相正弦信号发生器,通过按键调节频率和初始相位

2、,实现相位和频率可调的正弦信号发生器三、工作原理及模块分析直接数字频率合成器(DDS)是通信系统中常用到的部件,利用DDS可以制成很有用的信号源。与模拟式的频率锁相环PLL相比,它有许多优点,突出为(1)频率的切换迅速;(2)频率稳定度高。一个直接数字频率合成器由相位累加器、波形ROM、D/A转换器和低通滤波器构成。DDS的原理框图如下所示:图 1 直接数字频率合成器原理图其中K为频率控制字, fc为时钟频率,N为相位累加器的字长,D为ROM数据位及D/A转换器的字长。相位累加器在时钟 fc的控制下以步长K作为累加,输出N位二进制码作为波形ROM的地址,对波形ROM进行寻址,波形ROM输出的幅

3、码S(n)经D/A转换器变成梯形波S(t),再经低通滤波器平滑后就可以得到合成的信号波形了。合成的信号波形形状取决于波形ROM中存放的幅码,因此用DDS可以产生任意波形。本设计中直接利用D/A转换器得到输出波形,省略了低通滤波器这一环节。1、频率预置与调节电路不变量K被称为相位增量,也叫频率控制字。DDS方程为:f0= fc K/2n,f0为输出频率,fc为时钟频率。当K=1时,DDS输出最低频率(也既频率分辩率)为fc /2nDDS的最大输出频率由 Nyguist 采样定理决定,即fc /2,也就是说K的最大值为2n-1.因此,只要N足够大,DDS可以得到很细的频率间隔。要改变DDS的输出频

4、率,只要改变频率控制字K即可。2、累加器相位累加器的原理图如下图图 2 相位累加器原理图相位累加器由N为加法器与N位寄存器级联构成。每来一个时钟脉冲fc,加法器将频率控制字与寄存器输出的累加相位数据相加,再把相加后的结果送至寄存器的数据输入端,寄存器将加法器在上一个时钟作用后所产生的下数据反馈到加法器的输入端;以使加法器在下一个时钟作用下继续频率控制字进行相加。这样,相位累加器在时钟的作用下,进行相位累加,当相位累加器累加满量时,就产生一次溢出,完成一个周期性的动作,这个周期应为 uk= 2n / GCD(2N ;k),其中GCD表示最大公约数。3、波形存储器用相位累加器输出的数据作为波形存储

5、器的取样地址进行波形的相位幅值转换,即可在给定的时间上确定输出的波形的抽样幅值。N位的寻址ROM相当于把00- 3600 的正弦信号离散成具有2n 样值的序列,若波形ROM有D位数据位,则2n个样值的幅值以D位二进制数值固化在ROM 中,按照地址的不同可以输出相宜相位的正弦信号的幅值。相位-幅值变换原理图如下所示。图 3 相位-幅度变换原理图4、D/A转换器D/A转换器的作用是把已经合成的正弦波的数字量转换成模拟量,正弦幅度量化序列S(n)经D/A转换后变成了包络为正弦波的阶梯波S(t),S(t)的周期为T=uk*Tc.。需要注意的是,频率合成器对D/A转换器的分辨率有一定的要求,D/A转换器

6、的分辨率越高,合成的正弦波S(t)台阶数就越多,输出 波形的精度也就越高。四、相关程序1、加法器(1)ADD10LIBRARY ieee;USE ieee.std_logic_1164.all;LIBRARY lpm;USE lpm.all;ENTITY ADD10 ISPORT(dataa : IN STD_LOGIC_VECTOR (9 DOWNTO 0);datab : IN STD_LOGIC_VECTOR (9 DOWNTO 0);result : OUT STD_LOGIC_VECTOR (9 DOWNTO 0);END ADD10;ARCHITECTURE SYN OF add1

7、0 ISSIGNAL sub_wire0 : STD_LOGIC_VECTOR (9 DOWNTO 0);COMPONENT lpm_add_subGENERIC(lpm_direction : STRING;lpm_hint : STRING;lpm_type : STRING;lpm_width : NATURAL);PORT(dataa : IN STD_LOGIC_VECTOR (9 DOWNTO 0);datab : IN STD_LOGIC_VECTOR (9 DOWNTO 0);result : OUT STD_LOGIC_VECTOR (9 DOWNTO 0);END COMP

8、ONENT;BEGINresult ADD,lpm_hint = ONE_INPUT_IS_CONSTANT=NO,CIN_USED=NO,lpm_type = LPM_ADD_SUB,lpm_width = 10)PORT MAP(dataa = dataa,datab = datab,result = sub_wire0);END SYN;(2)ADD32LIBRARY ieee;USE ieee.std_logic_1164.all;LIBRARY lpm;USE lpm.all;ENTITY ADD32 ISPORT( dataa : IN STD_LOGIC_VECTOR (31 D

9、OWNTO 0); datab : IN STD_LOGIC_VECTOR (31 DOWNTO 0); result : OUT STD_LOGIC_VECTOR (31 DOWNTO 0);END ADD32;ARCHITECTURE SYN OF add32 ISSIGNAL sub_wire0 : STD_LOGIC_VECTOR (31 DOWNTO 0);COMPONENT lpm_add_subGENERIC ( lpm_direction : STRING; lpm_hint : STRING; lpm_type : STRING; lpm_width : NATURAL);P

10、ORT( dataa : IN STD_LOGIC_VECTOR (31 DOWNTO 0); datab : IN STD_LOGIC_VECTOR (31 DOWNTO 0); result : OUT STD_LOGIC_VECTOR (31 DOWNTO 0);END COMPONENT;BEGINresult ADD, lpm_hint = ONE_INPUT_IS_CONSTANT=NO,CIN_USED=NO, lpm_type = LPM_ADD_SUB, lpm_width = 32)PORT MAP( dataa = dataa, datab = datab, result

11、 = sub_wire0);END SYN;2、寄存器(1)REG10BLIBRARY ieee;USE ieee.std_logic_1164.all;LIBRARY lpm;USE lpm.all;ENTITY REG10B ISPORT( clock : IN STD_LOGIC ; data : IN STD_LOGIC_VECTOR (9 DOWNTO 0); q : OUT STD_LOGIC_VECTOR (9 DOWNTO 0);END REG10B;ARCHITECTURE SYN OF reg10b ISSIGNAL sub_wire0 : STD_LOGIC_VECTOR

12、 (9 DOWNTO 0);COMPONENT lpm_ffGENERIC ( lpm_fftype : STRING; lpm_type : STRING; lpm_width : NATURAL);PORT( clock : IN STD_LOGIC ; q : OUT STD_LOGIC_VECTOR (9 DOWNTO 0); data : IN STD_LOGIC_VECTOR (9 DOWNTO 0);END COMPONENT;BEGINq DFF, lpm_type = LPM_FF, lpm_width = 10)PORT MAP( clock = clock, data =

13、 data, q = sub_wire0);END SYN;(2)REG32BLIBRARY ieee;USE ieee.std_logic_1164.all;LIBRARY lpm;USE lpm.all;ENTITY REG32B ISPORT( clock : IN STD_LOGIC ; data : IN STD_LOGIC_VECTOR (31 DOWNTO 0); q : OUT STD_LOGIC_VECTOR (31 DOWNTO 0);END REG32B;ARCHITECTURE SYN OF reg32b ISSIGNAL sub_wire0 : STD_LOGIC_V

14、ECTOR (31 DOWNTO 0);COMPONENT lpm_ffGENERIC( lpm_fftype : STRING; lpm_type : STRING; lpm_width : NATURAL);PORT( clock : IN STD_LOGIC ; q : OUT STD_LOGIC_VECTOR (31 DOWNTO 0); data : IN STD_LOGIC_VECTOR (31 DOWNTO 0);END COMPONENT;BEGINq DFF, lpm_type = LPM_FF, lpm_width = 32)PORT MAP( clock = clock,

15、 data = data, q = sub_wire0);END SYN;3、ROMLIBRARY ieee;USE ieee.std_logic_1164.all;LIBRARY altera_mf;USE altera_mf.all;ENTITY ROM ISPORT( address : IN STD_LOGIC_VECTOR (9 DOWNTO 0); clock : IN STD_LOGIC ; q : OUT STD_LOGIC_VECTOR (9 DOWNTO 0);END ROM;ARCHITECTURE SYN OF rom ISSIGNAL sub_wire0 : STD_

16、LOGIC_VECTOR (9 DOWNTO 0);COMPONENT altsyncramGENERIC( address_aclr_a : STRING; init_file : STRING; intended_device_family : STRING; lpm_hint : STRING; lpm_type : STRING; numwords_a : NATURAL; operation_mode : STRING; outdata_aclr_a : STRING; outdata_reg_a : STRING; widthad_a : NATURAL; width_a : NA

17、TURAL; width_byteena_a : NATURAL);PORT ( clock0 : IN STD_LOGIC ; address_a : IN STD_LOGIC_VECTOR (9 DOWNTO 0); q_a : OUT STD_LOGIC_VECTOR (9 DOWNTO 0);END COMPONENT;BEGINq NONE, init_file = LUT10X10.MIF, intended_device_family = Cyclone, lpm_hint = ENABLE_RUNTIME_MOD=YES, INSTANCE_NAME=NONE, lpm_typ

18、e = altsyncram, numwords_a = 1024, operation_mode = ROM, outdata_aclr_a = NONE, outdata_reg_a = CLOCK0, widthad_a = 10, width_a = 10, width_byteena_a = 1)PORT MAP ( clock0 = clock, address_a = address, q_a = sub_wire0);END SYN;4、主程序LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGiC_UNSIGNED

19、.ALL;ENTITY DDS_SIN_YANGTIANJUN_CHENYAOSHUAI IS PORT( CLK: IN STD_LOGIC;-系统时钟 FWORD: IN STD_LOGIC_VECTOR(7 DOWNTO 0);-频率控制字PWORD: IN STD_LOGIC_VECTOR(7 DOWNTO 0);-相位控制字FOUT: OUT STD_LOGIC_VECTOR(9 DOWNTO 0);-可移相正弦信号输出 POUT: OUT STD_LOGIC_VECTOR(9 DOWNTO 0);CLKOUT:OUT STD_LOGIC);-参考信号输出END DDS_SIN_YA

20、NGTIANJUN_CHENYAOSHUAI;ARCHITECTURE one OF DDS_SIN_YANGTIANJUN_CHENYAOSHUAI ISCOMPONENT REG32B -32 位锁存器PORT( clock : IN STD_LOGIC ; data : IN STD_LOGIC_VECTOR (31 DOWNTO 0); q : OUT STD_LOGIC_VECTOR (31 DOWNTO 0);END COMPONENT;COMPONENT REG10B -10 位锁存器PORT( clock : IN STD_LOGIC ; data : IN STD_LOGIC

21、_VECTOR (9 DOWNTO 0); q : OUT STD_LOGIC_VECTOR (9 DOWNTO 0);END COMPONENT;COMPONENT ADD32 -32位加法器PORT( dataa : IN STD_LOGIC_VECTOR (31 DOWNTO 0); datab : IN STD_LOGIC_VECTOR (31 DOWNTO 0); result : OUT STD_LOGIC_VECTOR (31 DOWNTO 0);END COMPONENT;COMPONENT ADD10 - 10位加法器的设计PORT( dataa : IN STD_LOGIC

22、_VECTOR (9 DOWNTO 0); datab : IN STD_LOGIC_VECTOR (9 DOWNTO 0); result : OUT STD_LOGIC_VECTOR (9 DOWNTO 0);END COMPONENT;COMPONENT ROM -10位地址10位数据正弦信号数据ROMPORT( address : IN STD_LOGIC_VECTOR (9 DOWNTO 0); clock : IN STD_LOGIC ; q : OUT STD_LOGIC_VECTOR (9 DOWNTO 0);END COMPONENT;SIGNAL F32B,D32B, DI

23、N32B:STD_LOGIC_VECTOR(31 DOWNTO 0);SIGNAL P10B,LIN10B,SIN10B:STD_LOGIC_VECTOR(9 DOWNTO 0);BEGINCLKOUT=CLK;F32B(27 DOWNTO 20)=FWORD;F32B(31 DOWNTO 28)=0000;F32B(19 DOWNTO 0)=00000000000000000000;P10B(9 DOWNTO 2)=PWORD;P10B(1 DOWNTO 0)F32B,datab=D32B,result=DIN32B);u2 : REG32B PORT MAP(q=D32B,data=DIN

24、32B,clock=CLK);u3 : ROM PORT MAP(address=SIN10B,q=FOUT,clock=CLK);u4 : ADD10 PORT MAP(dataa=P10B,datab=D32B(31 DOWNTO 22),result=LIN10B);u5 : REG10B PORT MAP(q=SIN10B,data=LIN10B,clock=CLK);u6 : ROM PORT MAP(address=D32B(31 DOWNTO 22),q=POUT,clock=CLK);END one;五、仿真结果:图4仿真波形 由仿真结果来看改变相位和频率输入,相应输出的频率和相位也跟随着相应的变化。故仿真结果正确。六、引脚配置和下载图5引脚配置图6下载图7实际波形 经实际硬件验证,可通过按键改变频率和相位的值而改变正弦信号发生器输出波形的频率和相位。七、实验心得 实验涉及了对LPM_ADD_SUB、LPM ROM、LPM_FF宏模块的使用、例化方法的使用,完成DDS数字移相信号发生器。实验中需要注意的问题有很多,例如需要保证ROM中的数据要至少1024个,保证正弦信号的完整性;在引脚配置中注意选择16进制的按键和10位D/A的引脚。本实验从设计到仿真验证在到硬件验证,让我们逐步深刻地了解DDS移相信号发生器的原理。

copyright@ 2008-2023 冰点文库 网站版权所有

经营许可证编号:鄂ICP备19020893号-2