ImageVerifierCode 换一换
格式:DOCX , 页数:19 ,大小:1.25MB ,
资源ID:6228417      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bingdoc.com/d-6228417.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(DDS数字频率合成器实验报告文档格式.docx)为本站会员(b****4)主动上传,冰点文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰点文库(发送邮件至service@bingdoc.com或直接QQ联系客服),我们立即给予删除!

DDS数字频率合成器实验报告文档格式.docx

1、low power consumption,high resolution and fast switchingtimeand other advantages,is widely used in thefield ofelectricalandelectronicequipment,In this experiment,a design cancontrol the frequencyby using QuartusII software,the direct digital frequency synthesizerphasecan outputsine and cosine,the wo

2、rking principle ofthe whole circuitrequirementsanalysis,and explainsthe design principleof each module,integration of thecircuit,the formation ofthe overallcircuit.Finished debugging,simulation,programming,analysisresult,summarizes the problemsand seek solutionsKey word: Direct Digital Frequency Syn

3、thesizer accumulation control frequent phase position waveform 一、实验目的: 设计一个频率及相位均可控制的可输出正弦及余弦波形直接数字频率合成器二、实验原理与过程: 直接数字频率合成器是一种基于全数字技术,从相位概念出发直接合成所需波形的一种频率合成技术。具有相对带宽大、频率转换时间短、分辨力高、相位连续性好等优点,很容易实现频率、相位和幅度的数控调制,广泛应用于通讯领域。DDS的主要由频率预置与调节电路、累加器、波形存储器、D/A转换器及低通滤波器这几部分组成。其主要工作就是相位累加,其输入是控制字,输出送相位调制器,相位调制器

4、除对累加器的结果加上一个偏移量外,还通过相位同步器与时钟同步。正弦.ROM查找表完成相位到幅度的转换,它接受相位调制器的输出实际上就是ROM的地址值,其输出送入D/A,就得到最终的正弦波。典型的DDS模型由相位累加器、移相加法器、波形存储器ROM 查找表(LUT)、D/A 转换器(DAC)以及低通滤波器(LPF)构成,其原理框图如上图所示。上图中,FCW 表示频率控制字(位宽为M 位)、PCW 表示相位控制字(位宽为K 位)、相位累加器的字长为N 位、ROM查找表地址线位宽为L 位、ROM LUT 数据位宽和DAC 的字长为D 位。其基本工作原理是: 相位累加器在参考时钟fosc 的控制下以步

5、长2M 做累加,输出的N 位二进制码中的高L 位与K 位相位控制字相加后,取其高L位作为波形存储器ROM LUT的地址,ROM LUT 寻址输出的D 位幅度码S(n)经D/A 转换器变成阶梯状波形S(t),再经过低通滤波器平滑后就可得到合成的信号波形输出。其中的频率控制字位宽M 和相位控制字位宽K,可以根据需要而选择对应相位累加器和移相加法器输入的中间某几位。输出的合成信号波形的形状取决于ROMLUT 中存储的波形幅度码,因此采用DDS 技术可以产生任意信号波形。 由于相位累加器为N位,相当于把正弦信号在相位上的精度定为N位,所以分辨率为1/2N.若系统时钟频率为fc,频率控制字fword为1

6、,则输出频率为fOUT=fC/2N,这个频率相当于基频.若fword为K,则输出频率为:fout=K* fC/2N当系统输入时钟频率fC不变时,输出信号的频率由频率控制字K所决定.由上式可得:K=2N*fout/fC其中,K为频率字,注意K要取整,有时会有误差. 选取ROM的地址时,可以间隔选项,相位寄存器输出的位数D一般取10-16位,这种截取方法称为截断式用法,以减少ROM的容量.D太大会导致ROM容量的成倍上升,而输出精度受D/A位数的限制未有很大改善.频率预置与调节电路K为相位增量,也叫频率控制字.DDS的输出频率表达式为fout=K* fC/2N,当K=1时,DDS输出最低频率(也即

7、频率分辨率)为fc/2N,而DDS的最高输出频率由Nyquist采样定理决定,即fc/2,也就是说K的最大值为2N-1.因此,只要N足够大,DDS可以得到很细的频率间隔.要改变DDS的输出频率,只要改变频率控制字K即可.累加器相位累加器由12位加法器与12位寄存器级联构成.每来一个时钟脉冲,加法器将频率控制字K与寄存器输出的累加相位数据相加,再把相加后的结果送至寄存器的数据输入端.寄存器将加法器的上一个时钟作用后所产生的相位数据反馈至加法器的输入端,以使加法器在下一个时钟作用下继续与频率控制字进行相加.这样,相位累加器在时钟作用下,进行相位累加.当相位累加器累加满量时就会产生一次溢出,完成一个

8、周期性的动作.累加器原理如下图:波形存储器用相位控制模块输出的数据作为波形存储器的取样地址,进行波形的相位-幅值转换,即可在给定的时间上确定输出的波形的抽样幅值.N位的寻址ROM相当于把的正弦信号离散成具有2N个样值的序列,若波形ROM有D位数据位,则2N个样值的幅值以D位二进制数值固化在ROM中,按照地址的不同可以输出相应相位的正弦信号的幅值. D/A转换器D/A转换器的作用是把合成的正弦波数字量转换成模拟量.正弦幅度量化序列S(n)经D/A转换后变成了包络为正弦波的阶梯波S(t).需要注意的是,频率合成器对D/A转换器的分辨率有一定的要求,D/A转换器的分辨率越高,合成的正弦波S(t)台阶

9、数就越多,输出的波形的精度也就越高.低通滤波器低通滤波器对D/A输出的阶梯波S(t)进行频谱分析,可知S(t)中除主频f0外,还存在分布在fc,2fc两边f0处的非谐波分量,幅值包络为辛格函数.因此,为了取得主频f0,必须在D/A转换器的输出端接入截止频率为fc/2的低通滤波器.测频电路测频就是计算1秒钟内脉冲的个数.我们利用计数器和锁存器实现这一功能.由于累加器以频率控制字K为间隔,当累加器满量时就会产生一次溢出,完成一次周期性的动作,这个周期也就是DDS信号的一个频率周期,所以将累加器的最高位作为测频电路技术器的脉冲.将1HZ的时钟信号二分频,得到0.5Hz.将0.5Hz脉冲送入锁存器的时

10、钟端,0.5Hz反相延时后的脉冲送入计数器的清零端.这样就使计数器在2s的脉冲周期内,1s内清零,1s内计数.由于锁存器的脉冲和计数器的脉冲是反相的,且有一定的延时,所以当锁存器有效脉冲来到时,计数器是清零状态,锁存器就锁存前1s内计数器的计数信号.这样就完成了1s内的脉冲计数,再将锁存器的输出送入译码显示电路,就可以在数码管上显示波形频率了.三、实验步骤试验箱频率为48Mhz,进行分频是首要工作,也是其他模电路顺利运行的关键。1.二分频电路波形图如下2. 三分频电路实验电路如下3十六分频电路4.十分频电路5.一千分频电路总脉冲电路图如下 为进行频率控制量的输入,频率预置与调节电路必不可少。K

11、被称为相位增量。DDS的输出频率为。当时,输出最低频率为;而DDS的最高输出频率,为最大值。是从0000到1111的四位二进制数,为了与相位累加器相匹配,需要定义成12位。的范围是从000000000000到000000001111。利用一个模16计数器来产生频率控制字计数频率采用1Hz,通过开关来控制使达到需要频率控制字。加法器由3个全加器7483构成,全加器的输入为12位2进制数,低四位对应着K4、K3、K2、K1,高八位输入均为0,寄存器由3个74173构成,分别与全加器的输出相连, 相位调节用一个12位的加法器将之前累加器的输出结果的高四位与相位控制字相加,构成相位控制模块。封装图如下

12、Rom波形存储器波形存储器的相位取样地址来自于相位累加器,可存放不同类种波形的地址,例如正弦波、余弦波、方波、矩形波、锯齿波、三角波等,可通过后面的D/A转换器及低通滤波器将数字信号转化为模拟信号。根据量化公式计算出的存储数值放到存储地址,形成mif文件,生成波形存储器封装图。通过编写程序,将计算好的存储数据存放在Excel表格中 mif文件操作过程如下。 最后生成封装图。如下其他波形步骤相同波形选择器电路图如下k5k6波形余弦波1三角波锯齿波方波控制电路作为一个模16的计时器,将频率控制字和相位控制字分别写成高四位、第四位的BCD码形式电路图如下测频电路作用是测量正弦波输出频率,通过将输出数

13、据接到译码显示电路中,使测频的数据在数码管上显示出来。其出一秒中其变化的次数即为输出正弦波频率。利用一个计数器记录下这期间的脉冲个数,就可以实现测频。正弦波的频率范围为03662Hz。显示电路此模块是用于数码管的动态显示,在本实验中一共需要6个数码管参与显示(秒2位,分2位,时2位),所以计数器74161设计为模6的循环,其输出既作为4片74151的控制端,又作为38译码器74138的控制端。因为只有一片BCD译码器7447,所以当计数器到某一个数值时,四片74151同时选取对应位的一个输入组成计时器某一位的BCD编码接入显示译码器7447,与此同时根据计数器的数值,74138译码器也从六个显

14、示管的使能端选择对应位有效,从而在实验箱上显现一个有效数据。扫描的频率为几千赫兹,因为人眼视觉停留的原因,会感觉七个数码管同时显示。在实验中,我尝试使用并行四分之一周期正弦与余弦波形节省rom空间。将0至/2的波形存入rom,从而形成用地址取反和输出取反的方法。为了简化方式,我们将最高的两位作为选择,后十位的前四分之一周期等分为1028份放入rom的存储空间里。 将取反电路与4位rom电路相结合,将4个四分之一周期的修改后的sin波形作为lpm_rom的输入,o11.10作为选择并输出,最终输出的sin9.0为四段组合过后的波形。最终电路如下当整个电路设计完成后,进行模拟仿真,观察波形。选择“

15、File-New”,打开“other files”标签项,选择“Vector Waveform File”。在谈出对话框右边“Name”下的空白框里双击鼠标,在新弹出的对话框里点击“Node Finder”按钮。在新对话框中的“Filter”中选择“Pins:all”后,点击“Pins:all”后点击“list”按钮,则“Nodes Found”对话框中列出了本工程的所有输入输出节点。双击所要节点,则右边的“selected nodes”框中出现了所选的节点。点击“OK”, 再点击“OK”,完成节点的添加。再设置时钟脉冲后,点击进行波形仿真观察波形。将编译好的程序下载到芯片之前要进行管脚分配。

16、选择“Assignments-Pins”,打开管脚分配对话框。在TO栏中,输入各管脚的名称,在Location下输入相应的管脚。选择“File-Save”来保存分配,然后关闭“Assignment Editor”。选择“Assignments-Setting”,打开Device&Pin对话框,选择Configuration标签页,采用串行配置器件EPCS4的主动配置模式。在Device&Pin对话框中选择Unused Pins标签页,进行没有使用的管脚设置为高阻状态。然后选择“Processing-Start Compilation”进行全程编译。将实验箱的电源打开,点击工具栏上的,再点击,点

17、击,在弹出的对话框中点击“OK”,然后点击“close”关闭对话框,则在后面的“No hardware”变成了“ByteBlasterLPT1”。最后在“Program/configure”列下的复选框中打勾,点击开始向实验箱上下载。示波器截图如下频率控制改变相位控制改变三、实验心得:本次实验动手难度不算太大,但原理却略为复杂,很容易出错,在细微方向上,需要小心谨慎。关键的是要注意电路内部的设计合理 性问题,以及各部分相关联的细节问题。最后综合考虑理论与实际偏差的问题来设计电路。在遇到错误时要认真思考,实验过程中有无数次各种各样的错误,关键在于对数字频率合成器的把握上,要有所重,关键是量化的过程,将-11的值压缩到1024个存储单元中,通过读取存储单元方式的不同,来改变输出波形的形状,从而达到改变波形的功能。这次实验的收获在于学会了自主思考,怎样在遇到问题困难时,努力将它解决,培养了自己独立学习解决问题的能力,同时同学间的交流学习同样重要,取长补短,可以避免自己走一些弯路。对于我这样的大学生来说,能够进行实际的动手操作的地方真心不多,因此,这一次机会十分宝贵,可以真正的动手解决遇到的各种问题。同时也要感谢花汉兵老师的指导与帮助,还有同学间的交流,帮助我完成实验。多谢你们。四、参考资料数字逻辑电路与系统设计 蒋立平主编 姜萍 谭雪琴 花汉兵 编. 北京电子工业出版社 2008

copyright@ 2008-2023 冰点文库 网站版权所有

经营许可证编号:鄂ICP备19020893号-2