ImageVerifierCode 换一换
格式:DOCX , 页数:19 ,大小:660.01KB ,
资源ID:6403683      下载积分:1 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bingdoc.com/d-6403683.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(北京交通大学数电实验报告最终版Word文档下载推荐.docx)为本站会员(b****3)主动上传,冰点文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰点文库(发送邮件至service@bingdoc.com或直接QQ联系客服),我们立即给予删除!

北京交通大学数电实验报告最终版Word文档下载推荐.docx

1、1用加法器实现2位乘法电路。2用4位加法器实现可控累加加/减,-9到9,加数步长为3电路。3用4位移位寄存器实现可控乘/除法2到8,乘数步长为2n电路。发挥部分1用A/DC0809和D/AC0832实现8k10k模拟信号和8位数字信号输入,模拟信号输出的可控乘/除法电路。2设计一个电路,输入信号50mV到5V峰峰值,1KHZ10KHZ的正弦波信号,输出信号为3到4V的同频率,不失真的正弦波信号。精度为8位,负载500。3发挥部分2中,假设输出成为直流,电路如何更改。二实验设计实验一用加法器实现2位乘法电路2.1.1 实验原理与分析 在这个实验中,输入输出较为简单,因此可通过真值表,快速推倒出电

2、路结构。IN1IN2OUT1表格2-1-1真值表通过对OUT的各个位的分析,可以看出,用一个加法器,就足以到达实验效果。根据二进制数的乘法公式,设两位二进制分别为A1、A0和B1、B0,输出从高位到低位依次为S3、S2、S1、S0,其中S0=A0*B0,S1=A0*B1+A1*B0,S2=A1*B1+S1可能产生的进位,S3=S2可能产生的进位。其中两数相与可以用74LS08两输入四与门实现,而加法可以用74LS283四位二进制超前进位全加器实现。这种方法有几点好处:只需要两个芯片,好连接,省成本 S3不需要再次连接电路,只需要进行空置,等待S2的进位,减少了问题诞生 不用使用CO和CI 2.

3、1.2 仿真电路与分析图2-1-1 仿真电路S1=A0*B0异或0S2=A1*B0异或A0*B1+进位S3=A1*B1异或0+进位S4=进位S4S1为从高到低位A0、A1、B0、B1可接高电平或低电平,0为低位,1为高位。数码管显示电路以后不再重复基本显示电路采用74LS185二进制BCD转换器和74LS47七段译码器/驱动器。74LS47与数码管的连接方式,以及其数码管十六进制显示对应图形如以下图2-1-2到2-1-5所示: 图2-1-2 7447原理图图2-1-3 7447管脚图 图2-1-4 BCD连接图2-1-5 74185管脚图通过以上管脚图,我们可以组装出我们的显示电路。由于MUL

4、TIZIM仿真软件,对于数码管是直接封装好的,因此我们不再展示仿真的显示电路。在试验箱上和电路的实际操作中,我们也将显示电路分出,依次将各个实验电路与显示电路相连接。实验二用4位加法器实现可控累加加/减,-9到9,加数步长为3电路实验原理与分析先进行整体的结构分析。实验要求,先控制每次的步长,选择加法或者减法,再对数字开始加减。因此,我们可以一步一步进行。首先,我们先决定设计一个控制步长的电路。我们拟采用移位寄存机,即74LS195,是一个单向的移位寄存器。这个寄存器在数电课本上出现的较多,我们使用的也很顺手。在此,我们可以列出想要到达的效果,以及实现的方法。963表格2-2-1 真值表左侧是

5、数字的十进制值,右侧则是它的二进制值。由于我们使用的是74LS195,我们很难通过向右移位得到该结果。不妨换个思路,我们将高低位调换,会得到以下效果。 表格2-2-2 真值表2我们可以清晰地发现,由9变为3,只需要进行向右移位,再将左边填一个1,;由3变6,只需要再次右移动,左边填一个0;最后,想再从6变9,没有方法,只能进行清零,重新回到预置状态。因此,我们将9进行预置,A-D输入 1 0 0 1,将J K与Q0 Q3相连用来控制最左端填充的数字,再对预置状态进行控制,当输出到6的时候重新预置,即可得到想要的效果。芯片的工作表如下:图2-2-1 75LS195功能图管脚图如下:图2-2-2

6、74LS195管脚图具体细节,可见电路图。刚刚略过了对预置的控制,在此进行补齐。由于74LS195的R管脚的性质,接到低电平时进行清零预置,所以我们可以将Q3和Q0与或门相连。当这两个都为0时,重新进行预置。或门芯片,采用74ALS32. 图2-2-3 74LS31管脚图至此,控制步长的控制电路设计完成,只需要将Q3-Q0的输出与数码管由低位至高位依次相连,即可测出步长来。接下来,则是相加电路。在这里,我们拟采用两个74LS283、一个74LS273芯片、一个74136芯片。当加法时,令输出的步长信号与低电平进行异或,再连入283中。而当减法时,则将其分别异或高电平,再连入283中,最后再给2

7、83一个进位。之所以这么做,是为了控制加减法。加法正常相加,而减法则采用补码相加。图2-2-4 74136管脚图由于我们输出的两位十进制数,因此,需要有8位的二进制数。高四位,通过正常的锁存、相加进行,而高四位,则通过锁存、进位来取得。高四位的进位,来源于低四位的破10。图2-2-5 74273功能图对于锁存器和加法器的联发,在此不过与赘述,可在图中详解。最后,将锁存器的输出端,由高至低位进行输出入数码管,即可得到累加电路。仿真电路与分析 图2-2-6 仿真电路图中,左下方的开关控制累加电路是否开启的,通过脉冲来进行相应。左上方的电路是控制是否开启控制电路的,当开关接在上方时,可以调试步长。而

8、中间上方的开关,则是控制加法减法的。接低电平,是加法电路;接高电平,是减法电路。左下方的283芯片,是用来累加进位的,是十位的数码管输出。右上方的283芯片,原理使使用异或门输出的二进制数字,与之前累加的结果再次进行叠加,以到达一个上升沿就累加一次的作用。因此,锁存器的低四位又接回了这个283的输入端,而步长同时也接入了它的输入端,二者相加,得到结果。 用4位移位寄存器实现可控乘/除法2到8,乘数步长为2n电路 设计方案及论证 思想:电路主要包括5个部分:步长、选择、分频、乘除控制、锁存输出,其中步长部分通过移位寄存器实现步长变化,步长的变化又作为数据选择器的输入端,实现对分频的选择控制,输出

9、送锁存输出的脉冲端,同时乘除部分进行乘除控制以及基本2功能的输出步长部分:利用74ls1944位双向移位寄存器的移位功能实现步长在2,4,8之间内变化,同时通过加反馈通路由异或门与非门构成可实现自动预置(即2、4、8后重新恢复2、4、8的跳变) 图2-3-1 步长部分分频部分:使用两个195四位移位寄存器构成的扭环形计数器(可以自启动),实现2,4分频以下图左侧195为实现2分频,右侧195为实现4分频 图2-3-2 分频部分选择部分通过3-8译码器74151可以实现对2,4分频的选择输出,其中控制端A、B、C接步长控制的输出端,数据选择端D4、D0为分频信号输出端 图2-3-3 选择部分乘/

10、除控制部分通过两片194四位双向移位寄存器来控制左移进行乘法运算、右移进行除法运算,同时左侧194的高位接右侧194的SL可以实现进位图2-3-4乘/除控制部分锁存输出部分通过分频电路的输出作为八位锁存器74273的CLK端输入,锁存时进行运算但不进行输出,可以实现乘除功能并显示图2-3-5锁存输出部分部分芯片示意图图2-3-6 74195功能表 图2-3-7 74194功能表 图2-3-8 74151管脚图图2-3-9 74151功能表电路整体架构及仿真效果图2-3-10 实验三电路图用A/DC0809和D/AC0832实现8k10k模拟信号和8位数字信号输入,模拟信号输出的可控乘/除法电路

11、2.4.1 实验原理与分析 对于AD转换,我们拟采用DAC0832芯片,而放大倍数则用运放结合接口进行放大或者缩小。利用DAC0832内部的R2R电阻网络,输出Iout1和Iout2分别接运放的反相输入端和同相输入端,使运放工作在线性状态。当DAC0832的VREF端接模拟输入信号时,RFB端接运放的输出时,实现乘法功能,即数字量和模拟量相乘;相反,当DAC0832的VREF端接运放的输出时,RFB端接模拟输入信号时,实现除法功能,即模拟量除以数字量。 图2-4-1乘法原理图由以上电路可知,DAC0832工作在直通模式,且我们可以推导出:其中R为本身R-2R网络中的电阻R,为DAC0832里自

12、带的反馈电阻。在实际电路中,由于要修正这个系数,我们需要在的基础上另加一个反馈电阻。则上式可以修改为:我们想要公式变为如下形式:上式可以简写成:其中我们可以看出,Uo正比于输入Ui与数字量D的乘积,称为乘法DAC,简写为MDAC。2、在构成除法器时,我们所应用的原理图如下:图2-4-2 除法原理图同理,我们可以推导出DAC0832构成除法器时的输出表达式:通过加入反馈电阻,我们想要公式变为如下形式:上式可以简写为:2.4.2 仿真电路与分析 图2-4-3 仿真原理图当下端的两个开关都在上方,且中间开关在左边时,此时是乘法电路。当下端的两个开关都在下方,且中间开关在右边时,此时是除法电路。三实验感想 这个写的太挫了,忽略它吧。四参考文献12阎石主.数字电子技术基础,北京高等教育出版社,2006.5 3王泽保,赵博.数字电路典型实验范例北京人民邮电出版社,2004.7 4余志新,徐娟.数字电路学习与实验指导广州华南理工大学出版社,1999.9 6汪国强,蒋

copyright@ 2008-2023 冰点文库 网站版权所有

经营许可证编号:鄂ICP备19020893号-2