ImageVerifierCode 换一换
格式:DOCX , 页数:10 ,大小:421.82KB ,
资源ID:6572408      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bingdoc.com/d-6572408.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(第三部分数字电子技术仿真实验.docx)为本站会员(b****4)主动上传,冰点文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰点文库(发送邮件至service@bingdoc.com或直接QQ联系客服),我们立即给予删除!

第三部分数字电子技术仿真实验.docx

1、第三部分数字电子技术仿真实验第三部分数字电子技术仿真实验实验一 QuartusII图形输入软件操作一、实验目的(1)了解并掌握QuartusII 软件图形输入的使用方法。(2)了解并掌握仿真(功能仿真及时序仿真)方法及验证设计正确性。(3)了解并掌握EDA QuartusII 中的原理图设计方法。二、实验内容及步骤1.实验内容 本实验通过简单的例子介绍FPGA 开发软件QuartusII 的使用流程,包括图形输入法的设计步骤和仿真验证的使用以及最后的编程下载。 图形编辑输入法也称为原理图输入设计法。用Quartus II 的原理图输入设计法进行数字系统设计时,不需要了解任何硬件描述语言知识,只

2、要掌握数字逻辑电路基本知识,就能使用QuartusII 提供的EDA 平台设计数字电路或系统。 QuartusII 的原理图输入设计法可以与传统的数字电路设计法接轨,即把传统方法得到的设计电路的原理图,用EDA 平台完成设计电路的输入、仿真验证和综合,最后编程下载到可编程逻辑器件(FPGA/CPLD)或专用集成电路(ASIC)中。2.实验步骤: 在QuartusII 中通过原理图的方法,使用与门和异或门实现半加器。 第1 步:打开QuartusII 软件。 第2 步:新建一个空项目。选择菜单File-New Project Wizard,进入新建项目向导,如图3-1 所示,填入项目的名称“ha

3、dder”,默认项目保存路径在Quartus 安装下,也可修改为其他地址,视具体情况而定。图3-1 新建项目向导 第3 步:单击Next 按钮,进入向导的下一页进行项目内文件的添加操作,如果没有文件需要添加进项目,则直接点击Next 按钮既可。 第4 步:选择CPLD/FPGA 器件,如图3-2 所示,选择芯片系列为“MAX II”,型号为“EPM240T100C5”。图3-2 器件选择 第5 步:向导的后面几步不做更改,直接点击Next 即可,最后点击Finish 结束向导。到此即完成了一个项目的新建工作。 第6 步:新建一个图形文件。选择File-New 命令,选择“Diagram/Sch

4、ematic File”,点击OK 按钮完成。将该图形文件另存为。图形编辑窗口如图3-3 所示,窗口左边是图形编辑工具条。图3-3 图形编辑窗口 第7步:在图形编辑窗口的空白处双击,打开符号库窗口,如图3-4所示。展开符号库“,可以看到有三个类别,分别是“megafunctions”表示具有宏功能的符号,“others”主要是一些常用的集成电路符号,“primitives”主要是一些基本门电路符号、引脚和接地、电源符号等。窗口中的“name”框可快速检索到需要的符号,例如当输入型号“7408”,符号库立刻找到相应集成电路的符号,如图3-5所示。图3-4 符号库图3-5 选中符号方法一 第8步:

5、选择好需要的符号后,单击OK按钮,界面将回到原理图编辑界面,然后单击左键即在窗口内放置该符号。再用同样的方法,在“name”框中输入“xor”即可找到异或门的符号;如图3-6所示。图3-6 选中符号方法二 第9步:在图形编辑窗口中分别放置与门“7408”和异或门“xor”,如图3-7所示。图3-7 第10步:再次打开符号,在“name”栏中输入“input”,符号库自动在库中找到输入“input”符号(如图3-8所示),并选中“Repeat-insert mode”点击OK按钮,可反复在编辑窗口中放入输入符号,直单击右键取消放置为止。由于输入信号一共有2个,所以需要放入2个输入符号,并将2个输

6、入符号命名为a和b。用同样的方法放置2个输出“output”符号,并分别命名为s、cout。再选择工具栏中的按钮,将各符号连接起来,结果如图3-9所示。图3-8 “input”符号图3-9 符号连接 第11步:保存图形文件,进行语法检查和编译。通过快捷按钮,对上面的代码进行语法检查和综合,同时在信息(Messages)窗口中显示检查结果,如程序中有错误,也将指出错误的地方以便修正。如果没有错误,则使用快捷按钮进行编译。编译结束后会自动打开一个编译报告(Compilation Report)窗口,如图3-10所示。图3-10 编译报告 第12步:仿真。在开发板上实现该电路之前,可以先在Quart

7、us软件中对电路进行功能仿真,以测试电路逻辑的正确性。在仿真之前,先要建立一个矢量波形文件,包含输入信号的波形,并指定需要观察的输出信号。执行File-New命令,选择“Other Files”选项页中VectorWaveform File,并单击OK按钮,打开矢量波形编辑器窗口,如图3-11所示。图3-11 矢量波形编辑器窗口 第13步:另存矢量波形文件为。执行Edit-Insert Node or Bus命令,将需要仿真的输入和输出节点加入到波形中来,其窗口如图3-12所示。可以在Name框中直接输入节点的名称,也可点击Node Finder按钮,打开节点搜索窗口,如图3-13所示。在Fi

8、lter下拉框中选择所要寻找的节点类型,这里选择“Pins:all”,点击List按钮,在Nodes Found框中列出所有的引脚。- 6 -图3-12 加入要仿真的输入输出节点图3-13 节点搜索窗口 第14步:选择所有引脚,单击按钮,将所有引脚添加到Selected Nodes框中,再按OK按钮返回波形编辑器窗口,如图3-14所示。选择波形工具栏中的按钮,在波形图上左击或右击分别进行波形的放大和缩小。图3-14 波形编辑器窗口- 7 - 第15步:编辑a和b的输入波形,再由仿真器输出y的波形。首先选中需要编辑的波形区间,再选择波形工具栏中的按钮,对选中区间进行置1或0。最后的输入波形如图3

9、-15所示,保存矢量波形文件。图3-15 编辑输入波形 第16步:功能仿真。选择Processing-Simulator Tool,窗口如图3-16所示。选择仿真模式(Simulator mode)为“Functional”,并选择文件作为仿真输入(Simulation input)波形文件。点击Generate Functional Simulation Netlist按钮,生成仿真网表。然后点击Start按钮,开始仿真。在仿真完成后,点击Report按钮即可观看仿真的结果,如图3-17所示。从波形可以看出,程序的逻辑功能与半加器相符。图3-16 仿真模式选择图3-17 功能仿真输出波形 第

10、17步:引脚分配。通常,如果用户不对引脚进行分配,Quartus软件会自动随机为设计分配引脚,这一般无法满足需求。在开发板上,FPGA与外部器件的连接是确定的,其连接关系可参看附录。如果选择数码开关SW0和SW1分别代表输入信号a和b、LED15和LED16代表输出信号s和cout,则通过附录查表可知它们分别对应CPLD的引脚PIN_39、PIN_38、PIN_15和PIN_16。选择Assignments-Pins命令,打开引脚规划器(Pin Planner),如图3-18所示。接着双击信号a的Location栏,在下拉框中选择PIN_39,其他信号通过相同的办法进行分配。图3-18 引脚分

11、配 第18步:在仿真正确,并锁定自定引脚后,通过按钮对项目再次编译。 第19步:时序仿真。 时序仿真不仅可以仿真其逻辑功能是否正确,同时可以仿真出信号之间的时间延迟。时序仿真又称后仿真,通常是在编译完成后进行。 再次选择Processing-Simulator Tool,并将仿真模式设为“Timing”,然后点击Start按钮。最后点击Report按钮查看仿真结果,结果如图3-19所示。与功能仿真结果图相比较,可以看出时序仿真的输出带有一定的延迟。 第20步:程序下载。 1)用USB连接线连接DE2和电脑,选择Tools-Programmer命令,打开配置窗口,如图3-20所示。 2) 图3-

12、18中第一列显示“No Hardware”,说明未指定硬件设备,单击Hardware Setup按钮,打开硬件设置窗口,如图3-21所示。双击列表框中的USB-Blaster,然后点击Close按钮,完成硬件设置。图3-21 硬件设置窗口 3)从图3-22可以看出,硬件已经设置完成,而且待配置的文件也已经在文件列表中。然后选中Program/Config选项,单击Start按钮,开始编程。编程结束后,即可在开发板上验证。图3-22 程序下载界面三、实验报告与要求(1)总结QuartusII软件设计的过程及步骤。 通过本次的QuartusII软件设计实验我了解并掌握QuartusII 软件图形输入的使用方法,同时了解并掌握仿真方法及验证设计正确性,也了解并掌握EDA QuartusII 中的原理图设计方法,学习了很多。(2)画出实验中的两张仿真波形。分析功能仿真和时序仿真的不同。功能仿真和时序仿真的不同点:时序仿真有延迟。

copyright@ 2008-2023 冰点文库 网站版权所有

经营许可证编号:鄂ICP备19020893号-2