ImageVerifierCode 换一换
格式:DOCX , 页数:17 ,大小:95.39KB ,
资源ID:6587107      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bingdoc.com/d-6587107.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(状态机及其VHDL设计.docx)为本站会员(b****4)主动上传,冰点文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰点文库(发送邮件至service@bingdoc.com或直接QQ联系客服),我们立即给予删除!

状态机及其VHDL设计.docx

1、状态机及其VHDL设计第7章状态机与其VHDL设计容提要:有限状态机Finite State Machine,简称FSM是一类很重要的时序电路,是许多数字系统的核心部件,也是实时系统设计中的一种数学模型,是一种重要的、易于建立的、应用比拟广泛的、以描述控制特性为主的建模方法,它可以应用于从系统分析到设计的所有阶段。有限状态机的优点在于简单易用,状态间的关系清晰直观。建立有限状态机主要有两种方法:“状态转移图和“状态转移表。标准状态机通常可分为Moore和Mealy两种类型。本章主要介绍了基于VHDL的常见有限状态机的类型、结构、功能与表达方法,重点是如何有效地设计与实现。学习要求:了解状态机的

2、根本结构、功能和分类,掌握有限状态机的一般设计思路与方法、状态机编码方案的恰当选取、Moore和Mealy状态机的本质区别与设计实现。关 键 词:状态机(State Machine),Moore,Mealy,VHDL设计(VHDL Design)7.1 状态机的根本结构和功能状态机的根本结构如图7.1所示。除了输人信号、输出信号外,状态机还包含一组存放器记忆状态机的部状态。状态机存放器的下一个状态与输出,不仅同输入信号有关,而且还与存放器的当前状态有关,状态机可以认为是组合逻辑和存放器逻辑的特殊组合。它包括两个主要局部:即组合逻辑局部和存放器。组合逻辑局部又可分为状态译码器和输出译码器,状态译

3、码器确定状态机的下一个状态,即确定状态机的激励方程,输出译码器确定状态机的输出,即确定状态机的输出方程。存放器用于存储状态机的部状态。状态机的根本操作有两种:1状态机的部状态转换。状态机经历一系列状态,下一状态由状态译码器根据当前状态和输入条件决定。2产生输出信号序列。输出信号由输出译码器根据当前状态和输入条件确定。用输入信号决定下一状态也称为“转移。除了转移之外,复杂的状态机还具有重复和历程功能。从一个状态转移到另一状态称为控制定序,而决定下一状态所需的逻辑称为转移函数。在产生输出的过程中,根据是否使用输入信号可以确定状态机的类型。两种典型的状态机是米立Mealy状态机和摩尔Moore状态机

4、。摩尔状态机的输出只是当前状态的函数,而米立状态机的输出一般是当前状态和输入信号的函数。对于这两类状态机,控制定序都取决于当前状态和输入信号。大多数实用的状态机都是同步的时序电路,由时钟信号触发进展状态的转换。时钟信号同所有的边沿触发的状态存放器和输出存放器相连,使状态的改变发生在时钟的上升或下降沿。在数字系统中那些输出取决于过去的输入和当前的输入的局部都可以作为有限状态机。有限状态机的全部“历史都反映在当前状态上。当给FSM一个新的输入时,它就会产生一个输出。输出由当前状态和输入共同决定,同时FSM也会转移到下一个新状态,也是随着FSM的当前状态和输入而定。FSM中,其部状态存放在存放器中,

5、下一状态的值由状态译码器中的一个组合逻辑转移函数产生,状态机的输出由另一个组合逻辑输出函数产生。建立有限状态机主要有两种方法:状态转移图状态图和状态转移表状态表。它们是等价的,相互之间可以转换。状态转移图如图7.2所示,图中每个椭圆表示状态机的一个状态,而箭头表示状态之间的一个转换,引起转换的输入信号与当前输出表示在转换箭头上。摩尔状态机和米立状态机的表示方法不同,摩尔状态机的状态译码输出写在状态圈,米立状态机的状态译码输出写在箭头旁,如图7.3所示。如果能够写出FSM的状态转移图,就可以使用VHDL的状态机语句对它进展描述。状态转移表形式如表7.1所示。表中的行列出了全部可能的输入信号组合和

6、部状态以与相应的次状态和输出,因此状态表规定了状态机的转换函数和输出函数。然而,状态表不适合具有大量输入的系统,因为随着输入的增加其状态数和系统的复杂性会显著增加。表7.1 状态转移表现态输入次态输出S0-SnI0-ImS0-SnQ0-QP状态转移图、状态转移表这两种有限状态机的建立方法是等价的,都描述了同一硬件结构,它们可以相互转换,但各有优缺点,分别适合于不同场合。一般状态机的VHDL设计7.2.1 状态机的一般组成用VHDL设计有限状态机方法有多种,但最一般和最常用的状态机设计通常包括说明局部,主控时序局部,主控组合局部和辅助进程局部。1) 说明局部说明局部中使用TYPE语句定义新的数据

7、类型,此数据类型为枚举型,其元素通常都用状态机的状态名来定义。状态变量定义为信号,便于信息传递,并将状态变量的数据类型定义为含有既定状态元素的新定义的数据类型。说明局部一般放在结构体的ARCHITECTURE和BEGIN之间。2) 主控时序进程是指负责状态机运转和在时钟驱动正负现状态机转换的进程。状态机随外部时钟信号以同步方式工作,当时钟的有效跳变到来时,时序进程将代表次态的信号next_state中的容送入现态信号current_state中,而next_state中的容完全由其他进程根据实际情况而定,此进程中往往也包括一些清零或置位的控制信号。3) 主控组合进程根据外部输入的控制信号包括来

8、自外部的和状态机容的非主控进程的信号或和当前状态值确定下一状态next_state的取值容,以与对外或对部其他进程输出控制信号的容。4) 辅助进程用于配合状态机工作的组合、时序进程或配合状态机工作的其他时序进程。在一般状态机的设计过程中,为了能获得可综合的,高效的VHDL状态机描述,建议使用枚举类数据类型来定义状态机的状态,并使用多进程方式来描述状态机的部逻辑。例如可使用两个进程来描述,个进程描述时序逻辑,包括状态存放器的工作和存放器状态的输出,另一个进程描述组合逻辑,包括进程间状态值的传递逻辑以与状态转换值的输出。必要时还可以引入第三个进程完成其它的逻辑功能。下例描述的状态机由两个主控进程构

9、成,其中进程REG为主控时序进程,为主控组合进程。 例7.1LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY s_machine IS PORT (clk, reset: IN STD_LOGIC; State_inputs: IN STD_LOGIC_VECTOR(0 TO 1); b_outputs: OUT STD_LOGIC_VECTOR(0 TO 1);END ENTITY s_machine;ARCHITECTURE behv OF s_machine ISTYPE states IS (st0,st1,st2,st3);-定义stat

10、es为枚举型数据类型SIGNAL current_state, next_state : states;BEGINREG: PROCESS (reset, clk) -时序逻辑进程 BEGIN IF reset=1 THEN-异步复位 Current_state=st0; ELSIF clk=1 AND clkEVENT THEN current_stateb_outputs = 00; -初始状态译码输出00 IF state_inputs=00 THEN -根据外部的状态控制输入00 next_state=st0; -在下一时钟后,进程REG的状态将维持为st0 ELSE next_sta

11、te b_outputs=01; -对应状态st1的译码输出01 IF state_inputs=00 THEN -根据外部的状态控制输人00 next_state=st1; -在下一时钟后,进程REG的状态将维持为st1 ELSE next_state b_outputs=10; -以下依次类推 IF state_inputs=11 THEN next_state=st2; ELSE next_stateb_outputs=11; IF state_inputs=11 THEN next_state=st3; ELSE next_state进程)和next_state(进程进程REG)。在V

12、HDL中可以有两种方式来创建反响机制:即使用信号的方式和使用变量的方式。通常倾向于使用信号的方式如例7.1。一般而言,在进程中使用变量传递数据,然后使用信号将数据带出进程。在设计过程中,如果希望输出的信号具有存放器锁存功能,如此需要为此输出写第3个进程,并把clk和reset信号放入敏感信号表中。但必须注意防止由于存放器的引入而创建了不必要的异步反响路径。根据VHDL综合器的规如此,对于所有可能的输入条件,如果进程中的输出信号没有被明确的赋值时,此信号将自动被指定,即在未列出的条件下保持原值,这就意味着引入了存放器。因此,我们在程序的综合过程中,应密切注意VHDL综合器给出的警告信息,并根据警

13、告信息对程序作必要的修改。一般来说,利用状态机进展设计有如下几个步骤:(1) 分析设计要求,列出状态机的全部可能状态,并对每一个状态进展编码。(2) 根据状态转移关系和输出函数画出状态转移图。(3) 由状态转移图,用VHDL语句对状态机描述。7.2.2 状态机的编码方案在状态机的编码方案中,有两种重要的编码方法:二进制编码和一位热码(OneHot)编码。在二进制编码的状态机中,状态位(B)与状态(S)的数目之间的关系为B=log2S,如两位状态位就有00,01,10,11四个不同状态,它们在不同的控制信号下可以进展状态转换,但如果各触发器又没有准确地同时改变其输出值,那么在状态01变到10时如

14、此会出现暂时的11或00状态输出,这类险象可能使整个系统造成不可预测的结果。这时,采用格雷码二进制编码是特别有益,在该编码方案中,每次仅一个状态位的值发生变化。一位热码编码就是用n个触发器来实现n个状态的编码方式,状态机中的每一个状态都由其中一个触发器的状态来表示。如4个状态的状态机需4个触发器,同一时间仅一个状态位处于逻辑1电平,四个状态分别为:0001、0010、0100、1000。在实际应用中,根据状态机的复杂程度、所使用的器件系列和从非法状态退出所需的条件来选择最适合的编码方案,使之能确保高效的性能和资源的利用。对复杂的状态机,二进制编码需用的触发器的数目比一位热码编码的少。如100个

15、状态的状态机按二进制编码仅用7个触发器就可以实现,而一位热码编码如此要求100个触发器。另一方面,虽然一位热码编码要求用较多的触发器,但逻辑上通常相对简单些。在二进制编码的状态机中,控制从一个状态转换到另一个状态的逻辑与所有7个状态位以与状态机的输入均有关。这类逻辑通常要求到状态位输入的函数是多输入变量的。然而,在一热恋位编码的状态机中,到状态位的输入常常是其它状态位的简单函数。站在器件结构的角度,不同结构支持其确定的编码类型。MAX+plus II编译程序对所采用的器件系列自动地选择最适宜的编码方法除非在设计文件中规定了具体的编码方案。例如,FLEX 7000器件系列是存放器增强型Regis

16、ter-intensive,以这类器件为对象的状态机最好选用一位热码编码方案来实现。由于一位热码编码的状态机降低了送到每一个状态位的逻辑电路的复杂程度,因而可提高用FLEX 7000器件实现的状态机的性能。MAX 5000和MAX 7000器件系列最适合二进制状态机编码方案。这两类器件都能够利用共享和并联的扩展乘积项有效地实现复杂的逻辑函数。因此,在这两类器件小,可以容纳复杂的组合逻辑函数而不会浪费资源或损失性能。另外,在选择编码方案时,必须考虑状态机可能进入的潜在的非法状态的数目。如果违反了状态位触发器的建立或保持时间,又没有定义所有可能出现的状态,如此你的设计会终止在非法状态上。MAX+p

17、lus II设计进入方法允许你定义非法状态和规定你的状态机如何从非法状态中退出。例如,用二进制编码实现一个14个状态的状态机需4个状态位。这将有16个可能的状态,故该状态机仅有两个可能的状态是非法状态。然而一位热码编码的状态机通常有更多的潜在的非法状态。14个状态的一位热码编码的状态机需要14个状态。一位热码编码的状态机的非法状态数目由方程式2nn确定,其中n为状态机的状态个数。因此,一位热码编码的14位状态共有16370个可能的非法状态。然而,只要设计中不违反状态位触发器的建立和保持时间,状态机将不会进入非法状态。摩尔状态机的VHDL设计摩尔有限状态机输出只与当前状态有关,与输入信号的当前值

18、无关,是严格的现态函数。在时钟脉冲的有效边沿作用后的有限个门延后,输出达到稳定值。即使在时钟周期输入信号发生变化,输出也会保持稳定不变。从时序上看,Moore状态机属于同步输出状态机。Moore有限状态机最重要的特点就是将输入与输出信号隔离开来。例7.2就是一个典型的Moore型状态机实例。状态机的状态图如图7.6所示。例7.2LIBRARY ieee;USE ieee.std_logic_1164.ALL;USE ieee.std_logic_unsigned.ALL;ENTITY moore IS PORT ( clk, datain, reset : IN std_logic;datao

19、ut : OUT std_logic_vector (3 DOWNTO 0);END ENTITY moore;ARCHITECTURE arc OF moore ISTYPE state_type IS (s1, s2, s3, s4);SIGNAL state: state_type;BEGIN state_process: PROCESS (clk, reset) -时序逻辑进程 BEGIN IF reset=1 THEN -异步复位 stateIF datain=1 THEN stateIF datain=0 THEN stateIF datain=1 THEN state IF da

20、tain=0 THEN state dataoutdataoutdataoutdataout=1000; END CASE;END PROCESS;END ARCHITECTUR arc;上例的VHDL描述中包含了两个进程:state_process和output_p,分别为时序逻辑进程和组合逻辑进程。图7.7是例7.2的工作时序图,由图可见,状态机在异步复位信号后state=s1,在第500ns有效上升时钟沿到来时,state=s1,datain=1,从而state由s1转换为s2,输出dataout=0010,即使在500ns后的一个时钟周期输入信号发生变化,输出也会维持稳定不变。综合后的

21、结果见图7.8所示。图Moore的工作时序图图Moore的RTL图米立状态机的VHDL设计Mealy状态机的输出是现态和所有输入的函数,随输入变化而随时发生变化。从时序上看,Mealy状态机属于异步输出状态机,它不依赖于时钟,但Mealy状态机和Moore状态机的设计根本上一样。例7.2就是一个典型的Mealy型状态机实例。状态机的状态图如图7.9所示。例7.3LIBRARY ieee;USE ieee.std_logic_1164.ALL;USE ieee.std_logic_unsigned.ALL;ENTITY mealy IS PORT ( clk, datain, reset : I

22、N std_logic;dataout : OUT std_logic_vector (3 DOWNTO 0);END ENTITY mealy;ARCHITECTURE arc OF mealy ISTYPE state_type IS (s1, s2, s3, s4);SIGNAL state : state_type;BEGIN state_process : PROCESS (clk, reset) -时序逻辑进程 BEGIN IF reset=1 THEN -异步复位 stateIF datain=1 THEN stateIF datain=0 THEN stateIF datain

23、=1 THEN state IF datain=0 THEN state IF datain=1 THEN dataout=0001; ELSE dataout IF datain=0 THEN dataout=0010; ELSE dataout IF datain=1 THEN dataout=0100; ELSE dataout IF datain=0 THEN dataout=1000; ELSE dataout0,输出dataout随即发生变化,由00000001,反映了Mealy状态机属于异步输出状态机而它不依赖于时钟的鲜明特点。综合后的结果见图7.11所示。Mealy状态机的VHDL结构要求至少有两个进程,或者是一个状态机进程加一个独立的并行贩值语句。图Mealy的工作时序图图Mealy的RTL图

copyright@ 2008-2023 冰点文库 网站版权所有

经营许可证编号:鄂ICP备19020893号-2