ImageVerifierCode 换一换
格式:DOCX , 页数:14 ,大小:503.66KB ,
资源ID:6731694      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bingdoc.com/d-6731694.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(QuartusII使用教程完整实例.docx)为本站会员(b****3)主动上传,冰点文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰点文库(发送邮件至service@bingdoc.com或直接QQ联系客服),我们立即给予删除!

QuartusII使用教程完整实例.docx

1、QuartusII使用教程完整实例Quartus 入门教程之答禄夫天创作时间:二O二一年七月二十九日(一个Verilog法式的编译和功能仿真)Quartus 是Altera公司推出的专业EDA工具,支持原理图输入、硬件描述语言的输入等多种输入方式.硬件描述语言的输入方式是利用类似高级法式的设计方法来设计出数字系统.接下来我们对这种智能的EDA工具进行初步的学习.使年夜家以后的数字系统设计更加容易上手.菜单栏快捷工具栏第一步:翻开软件任务管理窗口信息栏工作区资源管理窗口快捷工具栏:提供设置(setting),编译(compile)等快捷方式,方便用户使用,用户也可以在菜单栏的下拉菜单找到相应的选

2、项.菜单栏:软件所有功能的控制选项都可以在其下拉菜单中找到.信息栏:编译或者综合整个过程的详细信息显示窗口,包括编译通过信息和报错信息.所建工程的保管路径第二步:新建工程(filenew Project Wizard)1工程名称:顶层模块名(芯片级设计为实体名),要求与工程名称相同如果有已经存在的文件就在该过程中添加,软件将直接将用户所添加的文件添加到工程中.工程名称2添加已有文件(没有已有文件的直接跳过next)3选择芯片型号(我们选择MAX3000A系列下的EPM3256AQC208-10芯片)(注:如果不下载到开发板上进行测试,这一步可以不用设置)选择芯片快速搜索所需的芯片所选的芯片的系

3、列型号4选择仿真,综合工具(第一次实验全部利用quartus做,三项都选None,然后next)选择时序分析仪选择第三方仿真工具,如果使用Quartus内部仿真工具则选择none选择第三方综合工具,如果使用Quartus内部综合工具则选择none5工程建立完成(点finish)工程建立完成,该窗口显示所建立工程所有的芯片,其他第三方EDA工具选择情况,以及模块名等等信息.第三步:添加文件(filenewVHDL file),新建完成之后要先保管.我们选择Verilog HDL File设计文件格式既选择Verilog文本输入形式第四步:编写法式以实现一个与门和或门为例,Verilog描述源文件

4、如下:module test(a,b,out1,out2);input a,b;Output out1,out2;assignout1=a&b;assign out2=a | b;endmodule然后保管源文件;第五步:检查语法(点击工具栏的这个按钮(start Analysis & synthesis)语法检查胜利,没有error级别以上的毛病该窗口显示了语法检查后的详细信息,包括所使用的io口资源的几多等外容,相应的英文名年夜家可以自己查阅点击确定完成语法检查第六步:(锁定引脚,点击工具栏的(pin planner)(注:如果不下载到开发板上进行测试,引脚可以不用分配)顶层某块的输入输出

5、口与物理的芯片端口想对应各个端口的输入输出类型双击location 为您的输入输出配置引脚.选择为使用端口选项卡第七步:整体编译(工具栏的按钮(start Complilation)该窗口给出综合后代码的资源使用情况既芯片型号等等信息.第八步:功能仿真(直接利用quratus进行功能仿真)1将仿真类型设置为功能仿真(AssignmentssettingSimulator Settings下拉Function)Functional暗示功能仿真,既不包括时序信息,timinng暗示时序仿真.加入线及寄存器的延时信息2建立一个波形文件:(newVector Waveform File)添加波形文件作

6、为信号输出文件,以便观察信号的输出情况然后导入引脚(双击Name下面空白区域Node Finderlist点击):点击发生端口列表点击如下图添加信号双击弹出右边的对话框接下来设置激励信号(单击选择TimingMultiplied by 1)我们自界说的输入信号设置输入信号周期设置仿真的开始及结束时间设置b信号源的时候类同设置a信号源,最后一步改为Multiplied by 2然后要先生成仿真需要的网表(工具栏processingGenerate Functional Simulation Netlist)接下来开始仿真(仿真前要将波形文件保管,点击工具栏开始仿真):由a,b 两个信号经过我们设计的模块发生的结果观察波形,刚好符合我们的逻辑.功能仿真通过.第九步:下载(点击(Programmer),再点击Hardware Setup配置下载电缆,单击弹出窗口的“Add Hardware”按钮,选择并口下载ByteBlasterMV or ByteBlasterMV,单击“Close”按钮完成设置.CPLD器件生成的下载文件后缀名为.pof,点击下图所示方框,选中下载文件,然后直接点击start按钮开始下载)点击该按钮开始下载下载进度条下载是该选项必需打勾完!时间:二O二一年七月二十九日

copyright@ 2008-2023 冰点文库 网站版权所有

经营许可证编号:鄂ICP备19020893号-2