ImageVerifierCode 换一换
格式:DOCX , 页数:11 ,大小:563.68KB ,
资源ID:6977922      下载积分:1 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bingdoc.com/d-6977922.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(EDA 秒表实验报告Word文件下载.docx)为本站会员(b****4)主动上传,冰点文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰点文库(发送邮件至service@bingdoc.com或直接QQ联系客服),我们立即给予删除!

EDA 秒表实验报告Word文件下载.docx

1、1 实现计时范围为到99-59-99的秒表,超过计时长度,有溢出则自动复位清零;2 有开始/暂停功能,用于开始/结束计时操作;3 用6个七段数码管显示计时数;二 方案论证方案结构框图如下 美女- 数字式秒表实际上是一个频率(1000HZ)进行计数的计数电路。由于数字式秒表计数的需要,故需要在电路上加一个控制电路,该控制电路含清零、启动计时、暂停及继续计数等控制功能,同时1000HZ的时间信号必须做到准确稳定。通常使用石英晶体振荡器电路来产生脉冲。数字电子钟的总体方框图如图所示。由图可见,数字秒表由以下几部分组成:晶体振荡器和分频器组成的秒脉冲发生器;防抖开关;秒表控制开关;一百进制秒、分计数器

2、、六十进制秒计数器;以及秒、分的译码显示部分等。三 实验步骤分模块设计电路,给出关键电路仿真图,电路分析说明等1. 电路分析.显示译码驱动电路:将计数器的计数结果(BCD码)通过译码器译成七段显示码并驱动LED数码管显示出来。数码管显示电路.控制电路:根据题目要求,本电子秒表应具有两个按键,其中一个控制秒表的启/停,按一次启动计时,再按一次停止计时。另一个按键控制清“0”,按下时系统清“0”。复位电路按键电路 .分频模块仿真.控制模块仿真.计时器仿真2.源代码module watch(clk,out_wei,out_duan,res,key2); input res; /复位按键 input

3、clk; input key2; /控制开始和暂停按键 output 7:0out_wei; /数码管位选0out_duan; /数码管段选 reg 7:0out_wei=8b;0out_duan=8 reg 4:0count; /数码管位扫描时钟分频 reg 3:0count1; /按键防抖 reg 14:0count2; /主分频0sec_l=8 0sec_h=80fen_l=80fen_h=80msec_l=80msec_h=80s_1=0;0s_2=0;0s_3=0;0s_4=0;0s_5=0;0s_6=0; reg clk_out; reg pause; always(posedge

4、 clk) begin if(count2=20900) begin count2=0; clk_out=clk_out; end else count2=count2+1; always(posedge clk_out) begin count=count+1b1; case(count) 3 :begin out_wei=8 out_duan=msec_l; 6:begin=msec_h; end 9:=sec_l; 12:=sec_h; 15:=fen_l; 18:=fen_h; 20:count endcase end always(negedge key2) begin pause=

5、pause; end always(posedge clk_out ) begin if(res=1)begin s_1 s_2 s_3 s_4 s_5 s_6 else if(count1=8) begin count1 if(s_6=9) begin s_6 if(s_5=9) begin s_5 if(s_1=9) begin s_1 if(s_2=5) begin s_2 if(s_3=9) begin s_3 if(s_4=5) s_4 else s_4=s_4+1; end else s_3=s_3+1; end else s_2=s_2+1; end else s_1=s_1+1

6、; end else s_5=s_5+1; end else s_6=s_6+1; end else if(pause=1) begin =count1+1; end end always(s_1 or s_2 or s_3 or s_4 or s_5 or s_6) begin case(s_1) 0:sec_l=8 1: 2: 3: 4: 5: 7: 8: default:sec_l=1bx; endcase case(s_2)sec_h=8sec_h=1 endcase case(s_3)fen_l=8fen_l=1 case(s_4)fen_h=8fen_h=1 case(s_6)ms

7、ec_l=8msec_l=1 case(s_5)msec_h=8msec_h=1endmodule四 实验总结五 这次的计时秒表,其实跟100进制的计数器的原理是一样的,只是在时间脉冲上的速度加快,同时点亮6段数码管,让数码管计时依次点亮。开始做设计时总是会犯一些错误,只有经过不停的改错不停的编译得到正确的程序说明了作为软件编程人员是不能粗心大意的,一个程序的质量的高低与你细心与否有着一定的联系。在编程时,我充分使用了结构化的思想,这样程序检查起来也比较方便,调试时也给了我很大方便,只要一个模块一个模块的进行调就可以了,充分体现了结构化编程的优势。在设计中要求我要有耐心和毅力,还要细心,稍有不慎,一个小小的错误就会导致结果的不正确,而对错误的检查要求我要有足够的耐心,通过这次设计和设计中遇到的问题,也积累了一定的经验,对以后从事集成电路设计工作会有一定的帮助。在应用VHDL的过程中让我真正领会到了其并行运行与其他软件顺序执行的差别及其在电路设计上的优越性。用VHDL硬件描述语言的形式来进行数字系统的设计方便灵活,利用EDA软件进行编译优化仿真极大地减少了电路设计时间和可能发生的错误,降低了开发成本,这种设计方法在数字系统设计中发挥越来越重要的作用。

copyright@ 2008-2023 冰点文库 网站版权所有

经营许可证编号:鄂ICP备19020893号-2