ImageVerifierCode 换一换
格式:DOCX , 页数:27 ,大小:895.45KB ,
资源ID:7180593      下载积分:1 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bingdoc.com/d-7180593.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(Quartusii110教程包含modelsim仿真文档格式.docx)为本站会员(b****4)主动上传,冰点文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰点文库(发送邮件至service@bingdoc.com或直接QQ联系客服),我们立即给予删除!

Quartusii110教程包含modelsim仿真文档格式.docx

1、 设计输入所需电路可通过原理图方式或硬件描述语言方式(如Verilog或VHDL)进行设计。 综合输入的设计被综合进入由逻辑元素(LEs,FPGA芯片提供)组成的电路中。 功能仿真综合电路被测试以验证其功能是否正确,次仿真不考虑时序因素。 布局布线CAD Fitter工具决定网表中定义的LEs如何布置成FPGA芯片中的实际LEs。 时序分析分析已布局布线电路中的不同路径的传播延迟,用以指示所需电路的性能。 时序仿真测试已布局布线电路,验证其是否在功能和时序上都正确。 编程及配置设计的电路,通过编程配置开关,被实现到一个物理的FPGA芯片。配置开关用于配置LEs和建立所需线路连接。本指南介绍Qu

2、artus II软件的基本特征。展示如何使用Verilog硬件描述语言来设计和实现电路。使用GUI来实现Quartus II指令。通过本份指南,读者将学习到: 使用Verilog代码录入设计 将综合的电路布局到Altera FPGA 分配电路的输入输出到FPGA上的指定引脚 编程配置艾米电子EP2C8核心板上的FPGA芯片1. 开始在Quartus II中设计的每个逻辑电路或子电路,叫做一个工程。软件每次运行一个工程,并将所有信息保存在单一文件夹中。欲开始一个新的逻辑电路设计,第一步就是新建一个文件夹来保存文件。为了保存本指南的设计文件,在D盘新建introtutorial文件夹。指南者运行的

3、范例为一个简单的双路灯控电路。打开Quartus II软件,将看到类似于图2的画面。该显示画面包括若干窗口,用户可使用鼠标选择,以访问Quartus II软件的相关功能。Quartus II提供的大多数命令都可用菜单形式来访问。例如,在图2中,在File标签下点击左键,可打开如图3所示的菜单。用左键单击Exit可退出Quartus II软件。图2 Quartus II主体显示图3 File菜单一例对于有些命令,需要连续访问两个或以上的菜单才可以使用。1.1 Quartus II在线帮助Quartus II软件提供了容易理解的在线文档,可解答许多在使用软件时遇到的问题。用户可通过选择HelpSe

4、arch来快速访问帮助话题,在打开的对话框中,可以输入关键字。另外一个方法是,内容感应帮助,以快速查找指定话题的文档。在大多数的应用中,按住键盘上的F1键将打开一个显示该应用的可用命令的帮助窗口。2 新建工程按如下步骤新建工程:1. 选择FileNew Project Wizard,以打开图4所示窗口,可通过Dont show me this introduction again跳过此窗口步骤。单击Next,出现图5所示窗口。图4 引导的任务显示图5 创建新的工程2. 选择工作文件夹introtutorial,也可以使用您自己设定的文件夹。工程必须有一个名字,通常情况下,与顶层设计实体的名字相

5、同。如图5所示,选择light作为工程名和顶层实体名。单击Next。因为还没有创建introtutorial文件夹,Quartus II弹出一个对话框,询问是否新建所需文件夹,如图6所示。单击Yes,将会引出图7所示的窗口。图6 创建新的工程文件夹与否?图7 添加用户指定设计文件3. 如果没有已存在的设计文件,单击Next,将会打开如图8所示的画面。图8 选择器件家族和指定的器件4. 艾米电子EP2C8核心板采用的器件为Cyclone II EP2C8Q208C8。此处选择Cyclone II器件家族,器件为PQFP封装,有208个引脚,速度等级为8。单击Next,出现图9所示画面。图9 指定

6、第三方EDA工具5. 用户可指定一些第三方EDA工具。持续Simulation选用ModelSim-Altera,格式为Verilog HDL。单击Next,出现图10所示窗口。6. 设置一览如图10所示。单击Finish,返回Quartus II主体窗口。标题栏将显示light工程,如图11所示。图10 工程设置一览图11 Quartus II显示已建工程3 使用Verilog代码设计输入作为一个设计范例,我们将采用双路的灯控电路,如图12所示。此灯可由两个按键中的任一按键来控制,x1和x2,按键的闭合相应的逻辑值为1。真值表也同时在图中给出。注意输入x1和x2是异或的关系,但是我们仍然用门

7、来显示。图12 灯控电路所需电路,如图13所示,使用Verilog代码来描述。注意,Verilog模块叫做light,这个图5所示的名称是一致的。此代码的编辑可以使用任何可存储ASCII码的文本编辑器,或者使用Quartus II自带的编辑器。当然,文件的名称可以任意取;而和顶层Verilog模块的名称一致,是一个设计惯例。文件名称必须带.v扩展名,用以指示此文件为Verilog文件。所有,我们使用light.v这个名称。图13 图12所示电路的Verilog代码3.1 使用Quartus II 文本编辑器本节将展示如何使用Quartus II文本编辑器。如果你喜欢使用其他文本编辑器来创建Ve

8、rilog源文件,可以逃过此节。选择FileNew打开图14所示窗口,选择Verilog HDL File,并单击OK。这将打开文本编辑器窗口。第一步是指定所创建的文件的名称。Save As,打开图15所示的对话框。选择存储类型为Verilog HDL File,填写名称为light.v。单击Save,打开图16所示窗口。输入图13所示的代码。使用Ctrl+s保存该文件。图14 选择新建的文件类型图15 命名文件图16 文本编辑器窗口3.1.1 使用Verilog模板对于设计者来说,Verilog的语法有时很难被记住。为了解决问题,文本编辑器提供了Verilog模板集。这些模板提供了不同类型的

9、Verilog表达的范例,譬如module声明、always块和设置语句的陈述。可以通过EditInsert TemplateVerilog HDL来浏览这些模板,以熟悉这些资源,这是相当值得的。3.3 添加设计文件到工程中正如图7所讨论的那样,我们可以告诉Quartus II软件,哪些文件是当前工程的一部分。要查看当前的light工程中已包含的文件列表,选择AssignmentSettingFile,这将打开图17所示画面。另外一个可用的方法是选择标签ProjectAdd/Remove Files in Project。使用Quartus II文本编辑器创建文件时,勾上Add file to

10、 current project选项,所创建文件即自动加入到工程文件列表。如果使用的不是Quartus II自带的文本编辑器,那么图17,列表中就没有所创建的文件,此时必须手动添加文件到工程文件列表中。欲添加文件到工程文件列表中,单击图17中的File Name:的按钮,弹出图18所示对话框,选择light.v,单击Open。再次查看图17画面,此时所添加的文件就已经在文件列表了。需要注意的是,在大多数案例中,Quartus II软件可根据各个实体自动找到正确的文件,即使某些文件没有被认真地添加到工程中。然而,对于包含许多文件的复杂工程,按照上述方法,添加指定所需文件到工程中,是一个很好的设计

11、惯例。图17 设置窗口图18 选择文件4 编译设计电路在light.v中Verilog代码,要经过几个Quartus II工具的处理:分析代码、综合电路以及生成目标芯片的实现内容。这些应用程序被聚集在一起,且被称作编译器。选择ProcessingStart Compilation运行编译器,或者单击按钮运行。在编译过程中,Quartus II的左边会显示不同阶段的进度。编译成功(或不成功)后,会弹出一个对话框。单击OK确认。Qartus II会显示图19所示画面的编译报告。当编译成功后,也可以通过按钮手动打开编译报告。图19 编译报告在此窗口的左面,列出了许多信息的列表。如图19所示,本工程使

12、用了1个LE和3个引脚。4.1 错误在编译期间,Quartus II在消息窗口,会显示生成的消息。如果此Verilog设计正确,其中一条消息就会显示编译成功及无错误。如果编译不是零错误,那么在Verilog代码中,至少有一个错误。在这种情况下,与错误对应的消息就会在消息窗口显示。双击某条错误信息,该信息将会滚动显示完整;并且打开Quartus II自带文本编译器,Verilog代码中的某行将被高亮显示。类似的,编译器也会显示许多警告消息。同样的方法也适用于查看完整的警告消息。针对于某条错误或警告消息,想得到更多信息,可选住此条消息,然后按住F1功能键,即可查看。我们将light.v的最后一行改

13、成endmodules,重新编译。Quartus II弹出对话框,报告编译错误;单击OK,以确认。编译报告如图20所示。在消息窗口,单击Error标签,显示图21所示消息。双击第一条错误信息,Quartus II文本编辑器打开light.v,最后一行被高亮,如图22所示。修正代码,重新编译,直至成功为止。图20 编译报告图21 错误信息图22 指示错误代码的位置5 引脚分配在艾米电子EP2C8-2010增强版套件中,我们使用LED1代表f,SW1和SW2分别代表x1和x2,所需引脚映射如图23所示。使用文本编辑器,新建一文件,命名为pins list.txt。录入图24所示内容。选择Assig

14、nmentsImport Assignments,打开图25所示窗口。选择pins list.txt路径,单击OK导入引脚配置。Pin Planner(或单击按钮),打开图26所示窗口,查看引脚是否分配正确。图23 所需引脚映射图24 引脚分配文件pins list.txt图25 导入设置图26 查看引脚分配5.1 配置未用引脚未用引脚需要一定得约束,倘若没有这些约束,就有可能出现一些莫名其妙的问题。DeviceDevice and Pin OptionsUnused Pins,打开图27所示窗口。一般情况下,按照图28所示配置。图27 配置未用引脚图28 配置未用引脚为三态输入6 仿真所设计

15、的电路在艾米电子EP2C8-2010增强版套件实现所需电路之前,审慎的做法是,先仿真以确定所设计电路是否正确。下面将介绍如何使用ModelSim-Altera仿真:6.1 新建testbench文件使用文本编辑器新建testbench文件,文件类型与图9一致,命名为light_tb.v;不要勾Add file to current project选项,即不可加入到Quartus工程文件夹列表。录入图29所示代码。图29 light_tb.v6.2 设置ModelSim-Altera路径第一次使用需要先设置ModelSim-Altera路径。选择ToolsOptionsGeneralEDA To

16、ol Options,打开EDA工具选择,如图30所示,设置路径。单击OK,返回Quartus II主题界面。图30 设置ModelSim-Altera路径6.3 添加仿真所需测试文件EDA Tool SettingSimulation,打开图31所示窗口。在NativeLink setting下,选择Compile test bench:标签,单击Test Benches按钮,打开图32所示窗口。单击New,弹出设置窗口,命名Test bench name:为light_tb(与前面编写light_tb.v一致);在File name:处,点击按钮,添加light_tb文件路径;单击Add,

17、完成后如图33所示。连续三次单击OK,返回Quartus II主题窗口。图31 仿真选项设置图32 指定Test Benches图33 添加Test Benches6.4 开始仿真Run EDA Simulation ToolEDA RTL Simulation,进行RTL仿真;或者选择ToolsEDA Gate Level Simulation,进行门级仿真。此处以RTL仿真为示范。选择选择ToolsEDA RTL Simulation,弹出图34所示仿真画面。稍等片刻,波形就会显现。图34 ModelSim-Altera仿真画面在Wave窗口右上角,单击,最大化Wave窗口,方便查看波形,

18、如图35所示。将鼠标放在想要查看的波形上面,按住Ctrl键,滚动鼠标滚轴,可以很方便地放大缩小波形。图35 查看波形7 编程及配置FPGA器件7.1 安装下载电缆驱动 USB-Blaster用户,请参考 ByteBlaster II用户,请参考 EthernetBlaster用户,请参考7.2 JTAG编程将USB-Blaster与FPGA开发板连接在一起,打开FPGA开发板电源,回到Quartus II主题窗口。Progrmmer或单击按钮,打开图35所示窗口。选择Mode为JTAG。缺省情况下,USB-Blaster没有被选中,单击Hardware Setup按钮,在弹出的窗口选择USB-

19、Blaster,如图36所示,单击Close退出。图36 编程器窗口图37 硬件设置窗口如图37所示,light.sof已被列入窗口。如果没有列入的话,单击Add File,选择light.sof文件加入。这是一个由编译器的汇编模块生成的二进制文件,它包含配置FPGA器件的数据。.sof文件后缀,表示SRAM目标文件(SRAM Object File)。同时可以注意到,选中的器件为EP2C8Q208,即艾米电子EP2C8套餐所用器件。勾上Program/Configure,单击Start开始下载。图38 下载SRAM目标文件8 测试设计电路下载完毕后,就可以测试实现的电路了。使用SW1和SW2输入所有可能值,观察LED1亮灭是否和预期一致。

copyright@ 2008-2023 冰点文库 网站版权所有

经营许可证编号:鄂ICP备19020893号-2