ImageVerifierCode 换一换
格式:DOC , 页数:15 ,大小:4.43MB ,
资源ID:7395699      下载积分:1 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bingdoc.com/d-7395699.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(数字系统设计实验报告.doc)为本站会员(wj)主动上传,冰点文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰点文库(发送邮件至service@bingdoc.com或直接QQ联系客服),我们立即给予删除!

数字系统设计实验报告.doc

1、计算机科学与技术学院数字系统设计实验报告姓 名: 学号: 专 业:班 级: 指导教师: 2011年11月 徐州实验4-1 组合电路的设计实验目的:熟悉Quartus 2的 VHDL 文本设计流程全过程,学习简单组合电路的设计,多层次电路的设计、仿真和硬件测试。实验内容:1首先利用Quartus 2 完成2选1 多路选择器的文本编辑输入和仿真测试等步骤,给出仿真波形。最后在实验系统上进行硬件测试,验证本项设计的功能。2将此多路选择器看成是一个元件mux21a,利用元件例化语句描述,并将此文件放在同一目录中。任务一:1程序代码ENTITY mux21a IS PORT (a,b,s:IN BIT;

2、 y:OUT BIT ); END ENTITY mux21a; ARCHITECTURE one OF mux21a IS BEGIN PROCESS(a,b,s) BEGIN IF s=0 THEN y=a;ELSE ya2,b=a3,s=s0,y=tmp); u2:mux21a port map(a=a1,b=tmp,s=s1,y=outy); end architecture one; 2. 编译3. 波形图4. 观察RTL电路实验体会:通过这次实验,对vhdl文本设计流程有了初步的了解,对组合电路的原理和构成有了更深的认识。这次实验的最大收获就是学会了quartersII 的初步使用

3、方法。实验4-2 时序电路的设计实验目的:熟悉Quartus 2 的VHDL文本设计过程,学习简单时序电路的设计、仿真和测试。实验内容:1设计触发器,给出程序设计、程序编译、仿真分析、硬件测试及详细实验过程。2设计锁存器,给出程序设计、程序编译、仿真分析、硬件测试及详细实验过程。任务一:1. 程序代码LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY DFF1 IS PORT (CLK,D: IN STD_LOGIC; Q: OUT STD_LOGIC);END;ARCHITECTURE BHV OF DFF1 IS SIGNAL Q1: STD_L

4、OGIC; BEGIN PROCESS (CLK,Q1) BEGIN IF CLKEVENT AND CLK=1 THEN Q1=D; END IF; END PROCESS; Q = Q1 ;END ARCHITECTURE BHV;2. 编译3. 波形图4. 观察RTL电路任务二:1. 程序代码library ieee;use ieee.std_logic_1164.all;entity dff3 is port(clk,d:in std_logic; q:out std_logic);end entity dff3;architecture bhv of dff3 is begin pr

5、ocess(clk,d) begin if clk=1 then q0); ELSIF CLKEVENT AND CLK=1 THEN IF EN=1 THEN IF CQI0); END IF; END IF; END IF; IF CQI = 9 THEN COUT = 1; ELSE COUT = 0; END IF; CQ = CQI; END PROCESS; END BEHAV; 2. 编译3波形图4.RTL模拟图实验体会:本次实验设计了一个含异步清0和同步时钟使能的加法计数器,设计原理较为简单,但在设计过程中也会出现一些小错误,通过细心的分析才能够使实验结果更加完善。实验4-4 用原理图输入法设计全加器实验目的:熟悉原理图的输入方法设计简单组合电路,掌握层次化设计的方法,并通过一个全加器的设计把握利用EDA软件进行原理如输入方式的电子线路设计的详细流程。实验内容:设计全加器,给出程序设计、程序编译、仿真分析、硬件测试及详细实验过程。1半加器图2全加器图3编译4波形图实验体会:通过这次实验,我发现数字系统设计更加有趣,并对于一些原来不怎么理解理论认识更加透彻了,只有理论和实践的结合才能更好的理解并运用所学的知识。硬件设计在掌握了基础设计知识后,重要的是设计的思维和方法。

copyright@ 2008-2023 冰点文库 网站版权所有

经营许可证编号:鄂ICP备19020893号-2