ImageVerifierCode 换一换
格式:DOCX , 页数:14 ,大小:23.06KB ,
资源ID:7476411      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bingdoc.com/d-7476411.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(电子设计自动化eda期末考试试题及答案K12教育文档.docx)为本站会员(b****6)主动上传,冰点文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰点文库(发送邮件至service@bingdoc.com或直接QQ联系客服),我们立即给予删除!

电子设计自动化eda期末考试试题及答案K12教育文档.docx

1、电子设计自动化eda期末考试试题及答案K12教育文档电子设计自动化(eda)期末考试试题及答案(word版可编辑修改) 编辑整理:尊敬的读者朋友们:这里是精品文档编辑中心,本文档内容是由我和我的同事精心编辑整理后发布的,发布之前我们对文中内容进行仔细校对,但是难免会有疏漏的地方,但是任然希望(电子设计自动化(eda)期末考试试题及答案(word版可编辑修改))的内容能够给您的工作和学习带来便利。同时也真诚的希望收到您的建议和反馈,这将是我们进步的源泉,前进的动力。本文可编辑可修改,如果觉得对您有帮助请收藏以便随时查阅,最后祝您生活愉快 业绩进步,以下为电子设计自动化(eda)期末考试试题及答案

2、(word版可编辑修改)的全部内容。教研室主任签名教学院长签名成 绩 统 计 表题号一二三 四五六七八合计得分阅卷人考生姓名:_ 学 号 _ 专业班级一、判断题(10分)(1)适配器的功能是将综合器产生的网表文件配置于指定的目标器件中,产生最终的下载文件( )(2)硬IP提供设计的最终阶段产品:掩模.( )(3)MAX7000的一个LAB由16个宏单元的阵列组成.( )(4)FPGA是基于查找表结构的器件。( )(5)在QUARTUS中,工作文件夹允许是根目录.( )(6)STD_LOGIC中,0,1,Z,W可以综合.( )(7)在case语句中允许有相同选择值的条件句出现。( )(8)在vh

3、dl中常量具有全局性。( )(9)在vhdl中变量可在结构体和进程中定义和使用。( )(10)在进程中同一信号有多个赋值源,实际完成赋值的是最接近begin的信号。( )二、简答题(15分)1、简述fpga/cpld的设计流程。(5分)2、在vhdl中端口模式有那几种?并说明数据流动方向。(4分)3、简述一般状态机的结构及各部分的作用。(6分)三、改错;找到5处错误并改正(10分)LIBRARY IEEE ; USE IEEE.STD_LOGIC_1164.ALL ; ENTITY CNT4 IS PORT ( CLK : IN STD_LOGIC ; Q : OUT STD_LOGIC_VE

4、CTOR(3 DOWNTO 0) ) END ; ARCHITECTURE bhv OF CNT ISSIGNAL Q1 : STD_LOGIC_VECTOR(3 DOWNTO 0); BEGIN PROCESS (CLK) BEGIN IF CLKEVENT AND CLK THEN Q1 = Q1 + 1 ; END PROCESS ; Q = Q1 ; END bhv; 四、设计,要求写出完整的vhdl代码。(65分)1、16位硬件加法器,要求有进位输入和进位输出。(15分)2、七段数码管译码显示电路设计(数码管共阳极接法)(12分)要求输入BCD码,输出驱动数码管显示0到93、十进制加

5、法计数器,要求有复位功能。(13分)4、上升沿触发的D触发器,要求用三种方式描述,实体可只写一个。(15分)5、有一自动售饮料机,每次可投入5角或1元硬币。投入1元5角后自动给出1杯饮料;投入2元,给出1杯饮料并找出5角硬币。每次给出饮料后系统复位.用状态机完成此电路的vhdl设计。(10分)一、判断题(10分)(1)适配器的功能是将综合器产生的网表文件配置于指定的目标器件中,产生最终的下载文件( )(2)硬IP提供设计的最终阶段产品:掩模。( )(3)MAX7000的一个LAB由16个宏单元的阵列组成。( )(4)FPGA是基于查找表结构的器件。( )(5)在QUARTUS中,工作文件夹允许

6、是根目录。( )(6)STD_LOGIC中,0,1,Z,W可以综合。( )(7)在case语句中允许有相同选择值的条件句出现。( )(8)在vhdl中常量具有全局性。( )(9)在vhdl中变量可在结构体和进程中定义和使用。( )(10)在进程中同一信号有多个赋值源,实际完成赋值的是最接近begin的信号.( )二、简答题(15分)1、简述fpga/cpld的设计流程。(5分)设计输入(1分) HDL综合(1分) 布线布局(适配)(1分) 仿真(1分) 下载和硬件测试(1分)2、在vhdl中端口模式有那几种?并说明数据流动方向。(4分)“IN” 单向只读模式,数据只能通过此端口被读入实体(1分

7、)“OUT” 单向输出模式,数据通过此端口向实体外流出(1分)“INOUT” 输入输出双向端口(1分)“BUFFER” 与上一模式类似,但输入时,只允许内部回读输出的信号(1分)3、简述一般状态机的结构及各部分的作用。(6分)说明部分 定义一枚举类型,元素为状态机的状态名,状态变量为信号,数据类型为该枚举类型(1.5分)主控时序进程负责状态机运转和在时钟驱动下负责状态转换的进程(1.5分) 主控组合进程根据外部输入的控制信号和当前状态值确定下一状态取向,以及确定输出控制信号的内容(1.5分) 辅助进程 配合状态机工作的组合或时序进程(1。5分)三、改错;找到5处错误并改正(10分)LIBRAR

8、Y IEEE ; USE IEEE。STD_LOGIC_1164。ALL ; USE IEEE。STD_LOGIC_UNSIGNED.ALL ; ENTITY CNT4 IS PORT ( CLK : IN STD_LOGIC ; Q : OUT STD_LOGIC_VECTOR(3 DOWNTO 0) ) ; END ; ARCHITECTURE bhv OF CNT4 ISSIGNAL Q1 : STD_LOGIC_VECTOR(3 DOWNTO 0); BEGIN PROCESS (CLK) BEGIN IF CLKEVENT AND CLK = 1 THEN Q1 = Q1 + 1 ;

9、 END IF; END PROCESS ; Q = Q1 ; END bhv; 每个2分四、设计,要求写出完整的vhdl代码。(65分)1、16位硬件加法器,要求有进位输入和进位输出。(15分)LIBRARY IEEE;USE IEEE。STD_LOGIC_1164。ALL;USE IEEE.STD_LOGIC_UNSIGNED。ALL;ENTITY ADDER16 IS PORT ( CIN : IN STD_LOGIC ; A : IN STD_LOGIC_VECTOR(15 DOWNTO 0); B : IN STD_LOGIC_VECTOR(15 DOWNTO 0) ; S : OU

10、T STD_LOGIC_VECTOR(15 DOWNTO 0) ; COUT : OUT STD_LOGIC ); END ADDER4B ;ARCHITECTURE behav OF ADDER16 ISSIGNAL SINT : STD_LOGIC_VECTOR(16 DOWNTO 0) ; SIGNAL AA,BB : STD_LOGIC_VECTOR(16 DOWNTO 0) ;BEGIN AA=0A ; BB=0&B ; SINT = AA + BB + CIN ; S = SINT(15 DOWNTO 0) ;COUT = SINT(16) ;END behav ;实体正确7分,能

11、完成加法5分,进位正确3分2、七段数码管译码显示电路设计(数码管共阳极接法)(12分)要求输入BCD码,输出驱动数码管显示0到9 LIBRARY IEEE ; USE IEEE.STD_LOGIC_1164。ALL ; ENTITY DECL7S IS PORT ( A : IN STD_LOGIC_VECTOR(3 DOWNTO 0); LED7S : OUT STD_LOGIC_VECTOR(6 DOWNTO 0) ) ; END ; ARCHITECTURE one OF DECL7S IS BEGIN PROCESS( A ) BEGIN CASE A IS WHEN 0000” =

12、LED7S = ”1000000” ; WHEN 0001” = LED7S = ”1111001 ; WHEN ”0010” = LED7S = ”0100100 ; WHEN ”0011 = LED7S LED7S = 0110000” ; WHEN ”0101” = LED7S LED7S = ”0000010 ; WHEN ”0111” = LED7S = ”1111000” ; WHEN 1000 = LED7S LED7S = 0010000 ; WHEN OTHERS = NULL ; END CASE ; END PROCESS ; END ; 实体5分,结构体格式正确3分,c

13、ase语句正确2分,译码正确2分 3、十进制加法计数器,要求有复位功能.(13分)LIBRARY IEEE;USE IEEE.STD_LOGIC_1164。ALL;USE IEEE.STD_LOGIC_UNSIGNED。ALL;ENTITY CNT10 IS PORT (CLK,RST : IN STD_LOGIC; CQ : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); COUT : OUT STD_LOGIC ); END CNT10;ARCHITECTURE behav OF CNT10 ISBEGIN PROCESS(CLK, RST, EN) VARIABLE

14、CQI : STD_LOGIC_VECTOR(3 DOWNTO 0); BEGIN IF RST = 1 THEN CQI := (OTHERS =0) ; ELSIF CLKEVENT AND CLK=1 THEN IF CQI 9 THEN CQI := CQI + 1; ELSE CQI := (OTHERS =0); END IF; END IF; CQ = CQI; END PROCESS;END behav; 实体5分,结构体格式正确2分,能完成计数4分,能复位2分4、上升沿触发的D触发器,要求用三种方式描述,实体可只写一个。(15分)(1)LIBRARY IEEE ;USE IE

15、EE.STD_LOGIC_1164.ALL ; ENTITY DFF1 IS PORT (CLK : IN STD_LOGIC ; D : IN STD_LOGIC ; Q : OUT STD_LOGIC ); END ; ARCHITECTURE bhv OF DFF1 IS SIGNAL Q1 : STD_LOGIC ; BEGIN PROCESS (CLK) BEGIN IF CLKEVENT AND CLK = 1 THEN Q1 = D ; END IF; END PROCESS ;Q = Q1 ; END bhv; (2) LIBRARY IEEE ;USE IEEE.STD_LO

16、GIC_1164。ALL ; ENTITY DFF1 IS PORT (CLK : IN STD_LOGIC ; D : IN STD_LOGIC ; Q : OUT STD_LOGIC ); END ; ARCHITECTURE bhv OF DFF1 IS BEGIN PROCESS (CLK)PROCESS (CLK) BEGINIF CLK=1 AND CLKLAST_VALUE=0 THEN Q = D ; END IF; END PROCESS ; END bhv;(3)LIBRARY IEEE ;USE IEEE。STD_LOGIC_1164。ALL ;ENTITY DFF3 I

17、S PORT (CLK,D : IN STD_LOGIC ; Q : OUT STD_LOGIC ); END ; ARCHITECTURE bhv OF DFF3 IS SIGNAL Q1 : STD_LOGIC; BEGIN PROCESS (CLK) BEGIN IF rising_edge(CLK) THEN Q1 = D ; END IF; END PROCESS ;Q = Q1 ;END bhv;每一个5分。5、有一自动售饮料机,每次可投入5角或1元硬币。投入1元5角后自动给出1杯饮料;投入2元,给出1杯饮料并找出5角硬币。每次给出饮料后系统复位.用状态机完成此电路的vhdl设计。

18、(10分)LIBRARY IEEE;USE IEEE。STD_LOGIC_1164。ALL;ENTITY YLJ IS PORT(T5,T10 : IN STD_LOGIC; CLK : IN STD_LOGIC; LY : OUT STD_LOGIC; QIAN : OUT STD_LOGIC;); END;ARCHITECTURE behav OF YLJ ISTYPE states IS (st0, st1, st2) ; SIGNAL current_state, next_state;SIGNAL T: STD_LOGIC_VECTOR(1 DOWNTO 0); BEGINREG:

19、PROCESS (CLK) BEGINT=T10&T5; IF (CLKEVENT AND CLK=1) THEN current_state=next_state; END IF; END PROCESS REG ; COM: PROCESS(current_state,T) BEGIN CASE current_state IS WHEN st0=IF T=”00” THEN next_state = st0;LY=0;QIAN=0;ELSIF T=01” THENnext_state = st1; LY=0;QIAN IF T=”00 THEN next_state = st1;LY=0

20、;QIAN=0;ELSIF T=01” THENnext_state = st2; LY=0;QIAN=0;ELSIF T=”10” THENnext_state = st0; LY=1;QIAN=0; EDN IF; WHEN st2=; IF T=”00” THEN next_state = st2;LY=0;QIAN=0;ELSIF T=01” THENnext_state = st0; LY=1;QIAN=0;ELSIF T=”10” THENnext_state = st0; LY=1;QIAN=1; EDN IF; WHEN OTHERS = next_state = st0; END CASE ; END PROCESS COM ; END behav; 试题描述正确4分,机构体中主控时序进程2分,组合进程中状态转换2分,输出正确2分.任课教师

copyright@ 2008-2023 冰点文库 网站版权所有

经营许可证编号:鄂ICP备19020893号-2