ImageVerifierCode 换一换
格式:DOCX , 页数:17 ,大小:166.38KB ,
资源ID:7591217      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bingdoc.com/d-7591217.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(EDA数字钟Word文档格式.docx)为本站会员(b****3)主动上传,冰点文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰点文库(发送邮件至service@bingdoc.com或直接QQ联系客服),我们立即给予删除!

EDA数字钟Word文档格式.docx

1、我们可以通过实验板上的键7和键4进行任意的调整,因为我们用的时钟信号均是1HZ的,所以每LED灯变化一次就来一个脉冲,即计数一次。3.3功能:reset为复位键,低电平时实现清零功能,高电平时正常计数。可以根据我们自己任意时间的复位。3.4在整点时有报时信号产生,蜂鸣器报警。产生“滴答.滴答”的报警声音。3.5灯在时钟显示时有花样显示信号产生。即根据进位情况,LED不停的闪烁,从而产生“花样”信号。二、选择方案1.1方案选择根据总体方框图及各部分分配的功能可知,本系统可以由秒计数器、分钟计数器、小时计数器、整点报时、分的调整以及小时的调整和一个顶层文件构成。采用自顶向下的设计方法,子模块利用V

2、HDL语言设计,顶层文件用原理图的设计方法。显示:小时采用24进制,而分钟和秒均60进制。三、细化框图1.1根据自顶向下的方法以及各功能模块的的功能实现上述设计方案应系统细化框图:四、编写程序、仿真和分析4.1秒计数器1)VHDL语言言描述程序见附录2)秒计数器的仿真波形图3)波形分析利用60进制计数器完成00到59的循环计数功能,当秒计数至59时,再来一个时钟脉冲则产生进位输出,即enmin=1;reset作为复位信号低电平有效,即高电平时正常循环计数,低电平清零。因为这种60进制的VHDL语言是很好写的,它并不复杂,再说我们必须要学会这些基本的硬件语言的描写。4.2分钟计数器1)VHDL语

3、言描述程序见附录2)分钟计数器的仿真波形图小时计数模块利用24进制计数器,通过分钟的进位信号的输入可实现从00到23的循环计数。4.3小时计数器2)小时计数器的仿真波形图4.4整点报时报警模块2)整点报时模块仿真波形图由图知对于整点报时模块,当分钟计数至59时来一个时钟脉冲则产生一个进位信号,分钟计数到00,此时产生报警信号持续一分钟。当有时钟脉冲时lamp显示灯就闪烁轮续点亮。五、全系统联调5.1数字时钟系统原理图5.2数字时钟系统波形图仿真六、实验步骤及实验现象6.1启动Max plus10.2软件,打开工程Test26/time文件,下载time.sof程序。6.2将8位拨码开关CTRL

4、的(1)(2)(4)(8)设置为“ON”,短接SK2。6.3计数频率CLK接TJ1频率段的1Hz档;扫描频率CKDSP接TJ8频率段的32768Hz档;报时频率CLKSPK接TJ5的1024Hz档。6.4按键K1为清零信号RESET(高电平有效),按键K2代表调节小时,按键K3代表调节分钟;数码管SM6SM1显示具体的时间;发光二极管LED1LED9分别代表程序中的LAMP0LAMP8信号;当程序下载完毕后数码管从00时00分00秒开始计时,通过按键K3可以调节时钟的分钟显示,通过按键K2可以调节时钟的小时显示(调节时钟时应将按键所对应的拨位开关KD2和KD3拨向下;拨位开关KD2和KD3拨向

5、上时,数字钟正常计时)。当时钟走到XX时59分51秒时,喇叭开始鸣叫,其中51秒、53秒、55秒、57秒为低音,59秒为高音,发光二极管LED1LED9从51秒开始依次闪烁七、附录(源程序)7.1小时计数器VHDL语言源程序(底层文件)library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity hour isport(reset,clk : in std_logic; daout : out std_logic_vector(7 downto 0);end hour;architecture b

6、ehav of hour issignal count : std_logic_vector(3 downto 0);signal counter :beginp1: process(reset,clk)if reset=0 then count=0000; counterelsif(clkevent and clk=1) then if (counter2) then if (count=9) then=counter + 1; else=count+1; end if; if (count=3) then end process;daout(7 downto 4)=counter;daou

7、t(3 downto 0)=count;end behav;7.2分钟计数器VHDL语言源程序(底层文件)entity minute isport(reset,clk,sethour: out std_logic_vector(7 downto 0); enhour : out std_logic);end minute;architecture behav of minute issignal carry_out1 : std_logic;signal carry_out2 :5) then carry_out1=p2: process(clk)if(clk if (counter=0) t

8、hen if (count=0) then carry_out2enhour=(carry_out1 and carry_out2) or sethour;7.3 秒钟计数器VHDL语言源程序(底层文件)entity second isport(reset,clk,setmin : enmin :end second;architecture behav of second isend if;end process;enmin=carry_out1 or setmin;7.4整点报时报警模块VHDL语言源程序(底层文件)Library IEEE;use IEEE.std_logic_1164.

9、all;entity alert isPort( clkspk : second : in std_logic_vector(7 downto 0); minute : speak : out std_logic; lamp : out std_logic_vector(8 downto 0);end alert;architecture behav of alert issignal divclkspk2 : process(clkspk)if (clkspkevent and clkspk= divclkspk2lamp000000001speak000000000end case;7.5

10、显示模块VHDL语言源程序(底层文件)LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY DELED ISPORT( S: IN STD_LOGIC_VECTOR(3 DOWNTO 0); A,B,C,D,E,F,G,H: OUT STD_LOGIC);END DELED;ARCHITECTURE BEHAV OF DELED ISSIGNAL DATA:STD_LOGIC_VECTOR(3 DOWNTO 0);SIGNAL DOUT:STD_LOGIC_VECTOR(7 DOWNTO 0);BEGINDATA=S;PROCESS(DATA)CASE

11、 DATA ISWHEN DOUT00000000END CASE;END PROCESS;H=DOUT(7);G=DOUT(6);F=DOUT(5);E=DOUT(4);D=DOUT(3);C=DOUT(2);B=DOUT(1);A=DOUT(0);END BEHAV;7.6控制调时模块VHDL语言源程序(底层文件)entity seltime isport( ckdsp : reset : hour : out std_logic_vector(3 downto 0); sel : out std_logic_vector(2 downto 0);end seltime;architect

12、ure behav of seltime issignal sec : std_logic_vector(2 downto 0);process(reset,ckdsp)if(reset=sec000elsif(ckdspevent and ckdsp=) then if(sec=111 secelse=sec+1;process(sec,second,minute,hour)case sec isdaout=second(3 downto 0);001=second(7 downto 4);011=minute(3 downto 0);100=minute(7 downto 4);110=h

13、our(3 downto 0);=hour(7 downto 4);sel=sec;八、实习心得 8.1经过一个星期对实践的制作,从中学到了很多。首先是对EDA的vhd语言的更深层次认识,本来觉得EDA编程语言比较麻烦,可是接触了以后也就觉得它还是有它方便的地方,尤其是和图形编程结合的特点。其次,这个实践其实到目前为止应该还不是一个成功的作品,还是有很多的仿真没有完成,结果也不是很理想,原因可能也是自己的技术不到位,对EDA还不是很熟练。但是整个制作的过程中,它促进了同学之间的相互沟通,也让我在自己的专业知识的学习过程中,更多的,更好的学习一门知识,用于以后的实践应用中,做这个数字钟的设计中包含了很多不同功能的程序,让我在其中学到了一些程序的中的思路,特别一步一步去把错误的程序改正确是一种很有成就感的事!这样让我学到了更多的知识!特别是在做24进制的时候,我在网上查了好多程序,证实了好多错误的程序并从中更改出正确的程序!相信,现在只是一个起步,以后,我会更好的努力,学习,对EDA孰能生巧。 .

copyright@ 2008-2023 冰点文库 网站版权所有

经营许可证编号:鄂ICP备19020893号-2