ImageVerifierCode 换一换
格式:DOCX , 页数:42 ,大小:555.21KB ,
资源ID:7657355      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bingdoc.com/d-7657355.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(数字IC设计工程师招聘面试笔试100题附答案Word文档格式.docx)为本站会员(b****3)主动上传,冰点文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰点文库(发送邮件至service@bingdoc.com或直接QQ联系客服),我们立即给予删除!

数字IC设计工程师招聘面试笔试100题附答案Word文档格式.docx

1、附加专门约束时,首先约束分组之间的路径,然后约束快、慢速例外路径和多周期路径,以及其他特殊路径。9:附加约束的作用?提高设计的工作频率(减少了逻辑和布线延时);获得正确的时序分析报告;(静态时序分析工具以约束作为判断时序是否满足设计要求的标准,因此要求设计者正确输入约束,以便静态时序分析工具可以正确的输出时序报告)3:指定FPGA/CPLD的电气标准和引脚位置。10:FPGA设计工程师努力的方向:SOPC,高速串行I/O,低功耗,可靠性,可测试性和设计验证流程的优化等方面。随着芯片工艺的提高,芯片容量、集成度都在增加,FPGA设计也朝着高速、高度集成、低功耗、高可靠性、高可测、可验证性发展。芯

2、片可测、可验证,正在成为复杂设计所必备的条件,尽量在上板之前查出bug,将发现bug的时间提前,这也是一些公司花大力气设计仿真平台的原因。另外随着单板功能的提高、成本的压力,低功耗也逐渐进入FPGA设计者的考虑范围,完成相同的功能下,考虑如何能够使芯片的功耗最低,据说altera、xilinx都在根据自己的芯片特点整理如何降低功耗的文档。高速串行IO的应用,也丰富了FPGA的应用范围,象xilinx的v2pro中的高速链路也逐渐被应用。11:对于多位的异步信号如何进行同步? 对以一位的异步信号可以使用“一位同步器进行同步”(使用两级触发器),而对于多位的异步信号,可以采用如下方法:可以采用保持

3、寄存器加握手信号的方法(多数据,控制,地址);特殊的具体应用电路结构,根据应用的不同而不同;异步FIFO。(最常用的缓存单元是DPRAM)12:FPGA和CPLD的区别?CPLDFPGA内部结构Product term(基于乘积项)Look up Table(基于查找表)程序存储内部EEPROM/FLASHSRAM,外挂EEPROM资源类型组合逻辑资源丰富时序逻辑资源丰富集成度低高使用场合完成控制逻辑能完成比较复杂的算法速度慢快 ?其他资源PLL、RAM和乘法器等保密性可加密一般不能保密13:锁存器(latch)和触发器(flip-flop)区别? 电平敏感的存储器件称为锁存器。可分为高电平锁

4、存器和低电平锁存器,用于不同时钟之间的信号同步。 有交叉耦合的门构成的双稳态的存储原件称为触发器。分为上升沿触发和下降沿触发。可以认为是两个不同电平敏感的锁存器串连而成。前一个锁存器决定了触发器的建立时间,后一个锁存器则决定了保持时间。14:FPGA芯片内有哪两种存储器资源? FPGA芯片内有两种存储器资源:一种叫BLOCK RAM,另一种是由LUT配置成的内部存储器(也就是分布式RAM)。BLOCK RAM由一定数量固定大小的存储块构成的,使用BLOCK RAM资源不占用额外的逻辑资源,并且速度快。但是使用的时候消耗的BLOCK RAM资源是其块大小的整数倍。15:什么是时钟抖动? 时钟抖动

5、是指芯片的某一个给定点上时钟周期发生暂时性变化,也就是说时钟周期在不同的周期上可能加长或缩短。它是一个平均值为0的平均变量。16:FPGA设计中对时钟的使用?(例如分频等) FPGA芯片有固定的时钟路由,这些路由能有减少时钟抖动和偏差。需要对时钟进行相位移动或变频的时候,一般不允许对时钟进行逻辑操作,这样不仅会增加时钟的偏差和抖动,还会使时钟带上毛刺。一般的处理方法是采用FPGA芯片自带的时钟管理器如PLL,DLL或DCM,或者把逻辑转换到触发器的D输入(这些也是对时钟逻辑操作的替代方案)。17:FPGA设计中如何实现同步时序电路的延时? 首先说说异步电路的延时实现:异步电路一半是通过加buf

6、fer、两级与非门等来实现延时(我还没用过所以也不是很清楚),但这是不适合同步电路实现延时的。在同步电路中,对于比较大的和特殊要求的延时,一半通过高速时钟产生计数器,通过计数器来控制延时;对于比较小的延时,可以通过触发器打一拍,不过这样只能延迟一个时钟周期。18:FPGA中可以综合实现为RAM/ROM/CAM的三种资源及其注意事项? 三种资源:BLOCK RAM,触发器(FF),查找表(LUT);注意事项:在生成RAM等存储单元时,应该首选BLOCK RAM 资源;其原因有二:第一:使用BLOCK RAM等资源,可以节约更多的FF和4-LUT等底层可编程单元。使用BLOCK RAM可以说是“不

7、用白不用”,是最大程度发挥器件效能,节约成本的一种体现;第二:BLOCK RAM是一种可以配置的硬件结构,其可靠性和速度与用LUT和REGISTER构建的存储器更有优势。弄清FPGA的硬件结构,合理使用BLOCK RAM资源;分析BLOCK RAM容量,高效使用BLOCK RAM资源;分布式RAM资源(DISTRIBUTE RAM)19:Xilinx中与全局时钟资源和DLL相关的硬件原语: 常用的与全局时钟资源相关的Xilinx器件原语包括:IBUFG,IBUFGDS,BUFG,BUFGP,BUFGCE,BUFGMUX,BUFGDLL,DCM等。关于各个器件原语的解释可以参考FPGA设计指导准

8、则p50部分。20:HDL语言的层次概念? HDL语言是分层次的、类型的,最常用的层次概念有系统与标准级、功能模块级,行为级,寄存器传输级和门级。系统级,算法级,RTL级(行为级),门级,开关级21:查找表的原理与结构? 查找表(look-up-table)简称为LUT,LUT本质上就是一个RAM。目前FPGA中多使用4输入的LUT,所以每一个LUT可以看成一个有 4位地址线的16x1的RAM。 当用户通过原理图或HDL语言描述了一个逻辑电路以后,PLD/FPGA开发软件会自动计算逻辑电路的所有可能的结果,并把结果事先写入RAM,这样,每输入一个信号进行逻辑运算就等于输入一个地址进行查表,找出

9、地址对应的内容,然后输出即可22:IC设计前端到后端的流程和EDA工具? 设计前端也称逻辑设计,后端设计也称物理设计,两者并没有严格的界限,一般涉及到与工艺有关的设计就是后端设计。 1:规格制定:客户向芯片设计公司提出设计要求。 2:详细设计:芯片设计公司(Fabless)根据客户提出的规格要求,拿出设计解决方案和具体实现架构,划分模块功能。目前架构的验证一般基于systemC语言,对价后模型的仿真可以使用systemC的仿真工具。例如:CoCentric和Visual Elite等。 3:HDL编码:设计输入工具:ultra ,visual VHDL等 4:仿真验证:modelsim 5:逻

10、辑综合:synplify 6:静态时序分析:synopsys的Prime Time 7:形式验证:Synopsys的Formality.23:寄生效应在IC设计中怎样加以克服和利用(这是我的理解,原题好像是说,IC设计过程中将寄生效应的怎样反馈影响设计师的设计方案)?所谓寄生效应就是那些溜进你的PCB并在电路中大施破坏、令人头痛、原因不明的小故障。它们就是渗入高速电路中隐藏的寄生电容和寄生电感。其中包括由封装引脚和印制线过长形成的寄生电感;焊盘到地、焊盘到电源平面和焊盘到印制线之间形成的寄生电容;通孔之间的相互影响,以及许多其它可能的寄生效应。理想状态下,导线是没有电阻,电容和电感的。而在实际

11、中,导线用到了金属铜,它有一定的电阻率,如果导线足够长,积累的电阻也相当可观。两条平行的导线,如果互相之间有电压差异,就相当于形成了一个平行板电容器(你想象一下)。通电的导线周围会形成磁场(特别是电流变化时),磁场会产生感生电场,会对电子的移动产生影响,可以说每条实际的导线包括元器件的管脚都会产生感生电动势,这也就是寄生电感。在直流或者低频情况下,这种寄生效应看不太出来。而在交流特别是高频交流条件下,影响就非常巨大了。根据复阻抗公式,电容、电感会在交流情况下会对电流的移动产生巨大阻碍,也就可以折算成阻抗。这种寄生效应很难克服,也难摸到。只能通过优化线路,尽量使用管脚短的SMT元器件来减少其影响

12、,要完全消除是不可能的。24:用flip-flop和logic-gate设计一个1位加法器,输入carryin和current-stage,输出carryout和next-stage?carryout=carryin*current-stage;与门next-stage=carryin*current-stage+carryin*current-stage; 与门,非门,或门(或者异或门)module(clk,current-stage,carryin,next-stage,carryout);input clk, current-stage,carryin;output next-stage

13、,carryout;always(posedge clk)carryout=carryin¤t-stage;nextstage=25:设计一个自动饮料售卖机,饮料10分钱,硬币有5分和10分两种,并考虑找零,1.画出fsm(有限状态机)2.用verilog编程,语法要符合FPGA设计的要求3.设计工程中可使用的工具及设计大致过程?设计过程:1、首先确定输入输出,A=1表示投入10分,B=1表示投入5分,Y=1表示弹出饮料,Z=1表示找零。2、确定电路的状态,S0表示没有进行投币,S1表示已经有5分硬币。3、画出状态转移图。module sell(clk,rst,a,b,y,z);i

14、nput clk,rst,a,b;output y,z;parameter s0=0,s1=1;reg state,next_state;begin if(!rst) state=s0; else=next_state;endalways(a or b or cstate) y=0;z=0; case(state) s0: if(a=1&b=0) next_state=s1; else if(a=0&b=1) next_state=s0; y=1;else s1:b=0) y=1;z=1; default: next_state=s0;endcaseendmodule扩展:设计一个自动售饮料机

15、的逻辑电路。它的投币口每次只能投入一枚五角或一元的硬币。投入一元五角硬币后给出饮料;投入两元硬币时给出饮料并找回五角。1、确定输入输出,投入一元硬币A=1,投入五角硬币B=1,给出饮料Y=1,找回五角Z=1;2、确定电路的状态数,投币前初始状态为S0,投入五角硬币为S1,投入一元硬币为S2。画出转该转移图,根据状态转移图可以写成Verilog代码。26:什么是线与逻辑,要实现它,在硬件特性上有什么具体要求? 线与逻辑是两个输出信号相连可以实现与的功能。在硬件上,要用oc门来实现,由于不用oc门可能使灌电流过大,而烧坏逻辑门. 同时在输出端口应加一个上拉电阻。oc门就是集电极开路门。od门是漏极

16、开路门。27:什么是竞争与冒险现象?怎样判断?如何消除? 在组合电路中,某一输入变量经过不同途径传输后,到达电路中某一汇合点的时间有先有后,这种现象称竞争;由于竞争而使电路输出发生瞬时错误的现象叫做冒险。(也就是由于竞争产生的毛刺叫做冒险)。判断方法:代数法(如果布尔式中有相反的信号则可能产生竞争和冒险现象);卡诺图:有两个相切的卡诺圈并且相切处没有被其他卡诺圈包围,就有可能出现竞争冒险;实验法:示波器观测;解决方法:加滤波电容,消除毛刺的影响;加选通信号,避开毛刺;增加冗余项消除逻辑冒险。门电路两个输入信号同时向相反的逻辑电平跳变称为竞争;由于竞争而在电路的输出端可能产生尖峰脉冲的现象称为竞

17、争冒险。如果逻辑函数在一定条件下可以化简成Y=A+A或Y=AA则可以判断存在竞争冒险现象(只是一个变量变化的情况)。消除方法,接入滤波电容,引入选通脉冲,增加冗余逻辑28:你知道那些常用逻辑电平?TTL与COMS电平可以直接互连吗?常用逻辑电平:TTL、CMOS、LVTTL、LVCMOS、ECL(Emitter Coupled Logic)、PECL(Pseudo/Positive Emitter Coupled Logic)、LVDS(Low Voltage Differential Signaling)、GTL(Gunning Transceiver Logic)、BTL(Backplan

18、e Transceiver Logic)、ETL(enhanced transceiver logic)、GTLP(Gunning Transceiver Logic Plus);RS232、RS422、RS485(12V,5V,3.3V);也有一种答案是:12V,5V,3.3V。TTL和CMOS 不可以直接互连,由于TTL是在0.3-3.6V之间,而CMOS则是有在12V的有在5V的。CMOS输出接到TTL是可以直接互连。TTL接到 CMOS需要在输出端口加一上拉电阻接到5V或者12V。用CMOS可直接驱动TTL;加上拉电阻后,TTL可驱动CMOS.上拉电阻用途:1、当TTL电路驱动COMS

19、电路时,如果TTL电路输出的高电平低于COMS电路的最低高电平(一般为3.5V),这时就需要在TTL的输出端接上拉电阻,以提高输出高电平的值。2、OC门电路必须加上拉电阻,以提高输出的高电平值。3、为加大输出引脚的驱动能力,有的单片机管脚上也常使用上拉电阻。4、在COMS芯片上,为了防止静电造成损坏,不用的管脚不能悬空,一般接上拉电阻产生降低输入阻抗,提供泄荷通路。5、芯片的管脚加上拉电阻来提高输出电平,从而提高芯片输入信号的噪声容限增强抗干扰能力。6、提高总线的抗电磁干扰能力。管脚悬空就比较容易接受外界的电磁干扰。7、长线传输中电阻不匹配容易引起反射波干扰,加上下拉电阻是电阻匹配,有效的抑制

20、反射波干扰。上拉电阻阻值的选择原则包括:1、从节约功耗及芯片的灌电流能力考虑应当足够大;电阻大,电流小。2、从确保足够的驱动电流考虑应当足够小;电阻小,电流大。3、对于高速电路,过大的上拉电阻可能边沿变平缓。综合考虑以上三点,通常在1k到10k之间选取。对下拉电阻也有类似道理。OC门电路必须加上拉电阻,以提高输出的高电平值。OC门电路要输出“1”时才需要加上拉电阻不加根本就没有高电平在有时我们用OC门作驱动(例如控制一个 LED)灌电流工作时就可以不加上拉电阻总之加上拉电阻能够提高驱动能力。29:IC设计中同步复位与异步复位的区别?同步复位在时钟沿变化时,完成复位动作。异步复位不管时钟,只要复

21、位信号满足条件,就完成复位动作。异步复位对复位信号要求比较高,不能有毛刺,如果其与时钟关系不确定,也可能出现亚稳态。30:MOORE 与 MEELEY状态机的特征? Moore 状态机的输出仅与当前状态值有关, 且只在时钟边沿到来时才会有状态变化。 Mealy 状态机的输出不仅与当前状态值有关, 而且与当前输入值有关。31:多时域设计中,如何处理信号跨时域? 不同的时钟域之间信号通信时需要进行同步处理,这样可以防止新时钟域中第一级触发器的亚稳态信号对下级逻辑造成影响。信号跨时钟域同步:当单个信号跨时钟域时,可以采用两级触发器来同步;数据或地址总线跨时钟域时可以采用异步FIFO来实现时钟同步;第

22、三种方法就是采用握手信号。32:说说静态、动态时序模拟的优缺点?静态时序分析是采用穷尽分析方法来提取出整个电路存在的所有时序路径,计算信号在这些路径上的传播延时,检查信号的建立和保持时间是否满足时序要求,通过对最大路径延时和最小路径延时的分析,找出违背时序约束的错误。它不需要输入向量就能穷尽所有的路径,且运行速度很快、占用内存较少,不仅可以对芯片设计进行全面的时序功能检查,而且还可利用时序分析的结果来优化设计,因此静态时序分析已经越来越多地被用到数字集成电路设计的验证中。动态时序模拟就是通常的仿真,因为不可能产生完备的测试向量,覆盖门级网表中的每一条路径。因此在动态时序分析中,无法暴露一些路径

23、上可能存在的时序问题;33:一个四级的Mux,其中第二级信号为关键信号 如何改善timing.? 关键:将第二级信号放到最后输出一级输出,同时注意修改片选信号,保证其优先级未被修改。(为什么?)34:给出一个门级的图,又给了各个门的传输延时,问关键路径是什么,还问给出输入, 使得输出依赖于关键路径?关键路径就是输入到输出延时最大的路径,找到了关键路径便能求得最大时钟频率。35:为什么一个标准的倒相器中P管的宽长比要比N管的宽长比大? 和载流子有关,P管是空穴导电,N管是电子导电,电子的迁移率大于空穴,同样的电场下,N管的电流大于P管,因此要增大P管的宽长比,使之对称,这样才能使得两者上升时间下

24、降时间相等、高低电平的噪声容限一样、充电放电的时间相等。36:用mos管搭出一个二输入与非门? 92页与非门:上并下串 或非门:上串下并37:画出NOT,NAND,NOR的符号,真值表,还有transistor level(晶体管级)的电路? 117页134页38:画出CMOS的图,画出tow-to-one mux gate.(威盛VIA 2003.11.06 上海笔试试题) ?Y=SA+SB 利用与非门和反相器,进行变换后Y=(SA)*(SA),三个与非门,一个反相器。也可以用传输门来实现数据选择器或者是异或门。39:用一个二选一mux和一个inv实现异或?其中:B连接的是地址输入端,A和A

25、非连接的是数据选择端,F对应的的是输出端,使能端固定接地置零(没有画出来).Y=BA+BA利用4选1实现F(x,y,z)=xz+yz F(x,y,z)=xyz+xyz+xyz+xyz=xy0+xyz+xyz+xy1Y=ABD0+ABD1+ABD2+ABD3所以D0=0,D1=z,D2=z,D3=140:画出CMOS电路的晶体管级电路图,实现Y=A*B+C(D+E).(仕兰微电子)?画出Y=A*B+C的CMOS电路图,画出Y=A*B+C*D的CMOS电路图。利用与非门和或非门实现Y=A*B+C(D+E)=(AB)(CD)(CE) 三个两输入与非门,一个三输入与非门Y=A*B+C=(AB)C) 一

26、个反相器,两个两输入与非门Y=A*B+C*D=(AB)(CD) 三个两输入与非门41:用与非门等设计全加法器?(华为) 数字电子技术基础192页。通过摩根定律化成用与非门实现。42:A,B,C,D,E进行投票,多数服从少数,输出是F(也就是如果A,B,C,D,E中1的个数比0 多,那么F输出为1,否则F为0),用与非门实现,输入数目没有限制?(与非-与非形式)先画出卡诺图来化简,化成与或形式,再两次取反便可。43:画出一种CMOS的D锁存器的电路图和版图?也可以将右图中的与非门和反相器用CMOS电路画出来。44:LATCH和DFF的概念和区别?45:latch与register的区别,为什么现在多用register.行为级描述中latch如何产生的?

copyright@ 2008-2023 冰点文库 网站版权所有

经营许可证编号:鄂ICP备19020893号-2