ImageVerifierCode 换一换
格式:DOCX , 页数:28 ,大小:29.33KB ,
资源ID:7795679      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bingdoc.com/d-7795679.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(整理西湖数源彩电VS机芯Word文档格式.docx)为本站会员(b****4)主动上传,冰点文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰点文库(发送邮件至service@bingdoc.com或直接QQ联系客服),我们立即给予删除!

整理西湖数源彩电VS机芯Word文档格式.docx

1、V COMP场幅补偿设置R-Y SECAM(二)安全评价的基本原则7P/O SELECT前沿/后沿补偿选择AC ADJ交流电压调整P/O ADJ3补偿设置220VR/B BALANCER-Y/B-Y增益平衡设置SHIPPINGR/B ANGLER-Y/B-Y解调角设置MENU2G-Y ANGLEG-Y解调角设置BR20亮度(调白平衡时用)B-Y DAL修整亮度变化引起白平衡变化CN32对比度(调白平衡时用)R-Y PAL8SC设为0出现帧一线调G2MENU7RB69红截止MOODSTANDARD图象模式设置GB120绿截止BRIGHT36BB114蓝截止CONT48RD红驱动COLOR42GD

2、绿驱动SHARPBD蓝驱动TEST SIGNAL信号设置SB82副亮度C/VCO ADJ SW彩色自由震荡模式设置MENU3C/VCO ADJ彩色自由震荡调整IF38.0MVRESET IMING选择场扫描开始时间PALH LOCK VDET选择场同步分离工作模式NTSC3.58MENU8NTSC4.43WPL OPE2白峰限制设置SECAMY GAMMAY信号r校正点选择6.5MCORING1降噪增益选择6.0MBLK STR START黑电平延伸起控点选择5.5MBLK STR 黑电平延伸增益选择4.5MDC REST亮度信号直流恢复设置SIF-PRIORITYAUTO FLESH自动色调

3、设置MENU4AV ON开机选择AV设置AV2HOTEL MODE旅馆模式设置S-VHSLOGAL POWER本机待机键设置YUVMENU9CHINESEVIDEO LEVELVIDEO输出幅度设置START ON交流开机状态选择SUB CONT127副对比度设置BAND MODE0/1选择以匹配调谐器SUB COLOR副彩色设置RF AMP超强接收选择SUB SHARPNESS副清晰度设置RADIO收音功能选择SUB TINT63副色调甚至SOUND AMP音频功放功能选择OVER MOD SW过调制设置CURTAIN拉幕方式选择OVER MOD过调制植设置MENU5HALF TONEHAL

4、F TONE设置OSD CONT26OSD对比度设置PMVTE TIME5图象MURE设置DIGITAL OSD选择数字/模拟OSD模式VPOS DELTA60HZ场中心设置BRT ABL TH选择ABL起控点2、VS29A79数据:M04014VSC EW TILTEW DC46CHIP818EW AMPMENU6BOTTOM 11TOPM1RF AGC射频AGCH COMP25AC ADJ 220V1715615273128371SUB SHARP第二节 所用集成电路1、N801、MC8908A/MC9809A 微处理器脚号符 号功 能直流电压(V)备注待机工作BAND1频段控制电压输出B

5、AND2I2C DATA总线数据线4.6I2C CLOCK总线时钟线GND地X IN接32KHZ晶体X OUT2.22.4VDD5V电源5.19KEY1-IN本机键输入110AFT INAFT输入0.52.5POWER TEST市电检测KEY2-IN本机键输入213RESET复位电平输入FILT滤波电容3.6SAFTYX-RAY保护0.6II控制信号输出V-SYNC场脉冲输入4.818H-SYNC行脉冲输入4.419OSD ROSD R输入OSD GOSD G输入21OSD BOSD B输入OSD BLANKOSD消阴输出23SECAM控制信号输出24SIFRF NTSC控制信号输出收音控制信

6、号输出POWERSTAND BY输出27SD图象识别输入1.228IR-IN红外遥控输入4.229CD PLAYCD控制信号输出30VOL音量控制31MUTE声音MUTE输出1.4TUNINGVt(调谐电压)输出33SUR RF超强接收控制输出34DVDYUV控制信号输出TV/AVTV/AV控制信号输出2.8AV1/AV2AV1/AV2控制信号输出2.62、N702 FM24C08A0芯片选择,地址线0A1芯片选择,地址线1A2芯片选择,地址线2VSSSDAI2C总线数据输入/输出SCLI2C总线时钟数据输入TEST多功能脚,状态选择+5V电源电压3、N201 LA76818A I2C总线控制

7、PAL/NTSC小信号处理AUDIO OUT音频输出FM OUT伴音检波输出PIF AGC中放AGCRF AGC OUT高放AGCPIF IN图象中频输入GND(IF)地(中放)VCC(VIF)电源(中放)FM FIL伴音检波滤波AFT OUTAFT输出BUS DATA数据总线时钟总线ABL3.5R IN 字符红输入G IN字符绿输入B IN字符蓝输入BL IN字符消隐输入VCC(RGB)电源(RGB)7.6R OUT红输出G OUT绿输出B OUT蓝输出SYNC同步信号输出0.9V OUT场信号输出RAMP FIL锯齿波形成滤波1.8VCC(H/D)电源(行振荡/总线)H APC FIL行A

8、FC电路H OUT行振荡输出FBP IN沙堡脉冲形成1.6VCD I REF行频参考电流CLOCK OUT4MHZ时钟输出VCC(CCD)电源(1H-DL)4.5CCD FIL延迟电路滤波6.8GND(CCD/H)地(1H-DL)SECAM B-Y INSECAM B-Y输出35SECAM R-Y INSECAM R-Y输出APC2 FILAFC2色3.237FSC OUT副载波/SECAM接口38XIAL晶体振荡39APC1 FILAFC1色3.1SELECT V OUT内外视频选择输出41GND(V/C/D)地(视频/色/偏转)EXT V IN外视频输入43VCC(V/C/D)电源(视频/

9、色/偏转)44INT V IN内视频输入45BLACK STR黑电平延伸VIDEO OUT视频检波输出47APC FILAPC滤波VCO COIL视频检波4.349VCO FIL视频检波滤波51EXT AUDIO IN外音频输入52SIF OUT伴音中频输出53SIF APC FIL伴音鉴频APC54SIF IN伴音中频输入3.44-1、N501 LA78040 场输出INV IN场激励信号反相输入VCC1电源PUMP UP反峰供电(泵电源)场扫描输出VCC2场逆程脉冲输出(电源2)NON-INV IN场激励信号正相输入4-2、N501 LA78045 场输出5、N551 TDA8145 枕形

10、校正KEYSTONE IN梯形校正输入SAWTOOTH IN帧锯齿波输入2.1I REF参考电流8.4OUTPUT枕校输出9.8VCC24V电源枕校输入行幅调整输出6、N031 BU4052(在TV状态)YOBY2BZB0.2Y3BY1BINHVEEY3AY0AZAY1AY2A7、N001 BU4053(在TV状态)Y1Y00.3Z1ZCZ0CBAX0X1XAYB注:在TV/AV转换时相脚的电压变化:集成块脚号TVAV1SVHSBU4052(9)脚BU4052(10)脚BU4053(9)脚6.2BU4053(10)脚BU4053(11)脚8-1、N131 AN7523 伴音功放VccIC供电 13CH1out(+)声道1(+)输出5.8GND(CH1out)接地CH1out(-)声道1(-)输出STAND-BY静音:0VCHin声道1输入1.3NON声道2输入VOLUME音量控制(01V声音由无到大)018-2、N131 AN7522 伴音功放6.5CH1inCH2 IN

copyright@ 2008-2023 冰点文库 网站版权所有

经营许可证编号:鄂ICP备19020893号-2