ImageVerifierCode 换一换
格式:DOCX , 页数:32 ,大小:31.97KB ,
资源ID:8100366      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bingdoc.com/d-8100366.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(最新半导体词汇1Word文件下载.docx)为本站会员(b****4)主动上传,冰点文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰点文库(发送邮件至service@bingdoc.com或直接QQ联系客服),我们立即给予删除!

最新半导体词汇1Word文件下载.docx

1、PhaseAlrernatingLinePAL制式(逐行倒相制式)SEquentialCouleurAvecMemoireSECAM制式(顺序与存储彩色电视系统)半导体词汇3A1.VideoDemand视频点播2.DPIDotPerInch点每英寸3.A.M.U原子质量数4.ADIAfterdevelopinspection显影后检视5.AEI蚀科后检查6.Alignment排成一直线,对平7.Alloy融合:电压与电流成线性关系,降低接触的阻值8.ARC:anti-reflectcoating防反射层9.ASHER:一种干法刻蚀方式10.ASI光阻去除后检查B11.Backside晶片背面1

2、2.Etch背面蚀刻13.Beam-Current电子束电流14.BPSG:含有硼磷的硅玻璃15.Break中断,stepper机台内中途停止键C16.Cassette装晶片的晶舟17.CD:criticaldimension关键性尺寸18.Chamber反应室19.Chart图表20.Childlot子批21.(die)晶粒22.CMP化学机械研磨23.Coater光阻覆盖(机台)24.Coating涂布,光阻覆盖25.ContactHole接触窗26.ControlWafer控片27.Criticallayer重要层28.CVD化学气相淀积29.Cycletime生产周期D30.Defec

3、t缺陷31.DEP:deposit淀积32.Descum预处理33.Developer显影液;显影(机台)34.Development显影35.DG:dualgate双门36.DIwater去离子水37.Diffusion扩散38.Doping掺杂39.Dose剂量40.Downgrade降级41.DRC:designrulecheck设计规则检查42.DryClean干洗43.Duedate交期44.Dummywafer挡片E45.E/R:etchrate蚀刻速率46.EE设备工程师47.EndPoint蚀刻终点48.ESD:electrostaticdischarge/electrosta

4、ticdamage静电离子损伤49.ET:蚀刻50.Exhaust排气(将管路中的空气排除)51.Exposure曝光F52.FAB工厂53.FIB:focusedionbeam聚焦离子束54.FieldOxide场氧化层55.Flatness平坦度56.Focus焦距57.Foundry代工58.FSG:含有氟的硅玻璃59.Furnace炉管G60.GOI:oxideintegrity门氧化层完整性H61.H.M.D.SHexamethyldisilazane,经去水烘烤的晶片,将涂上一层增加光阻与晶片表面附着力的化合物,称H.M.D.S62.HCI:hotcarrierinjection热

5、载流子注入63.HDP:highdensityplasma高密度等离子体64.High-Voltage高压65.Hotbake烘烤I66.ID辨认,鉴定67.Implant植入L68.Layer层次69.LDD:lightlydopeddrain轻掺杂漏70.Localdefocus局部失焦因机台或晶片造成之脏污71.LOCOS:localoxidationofsilicon局部氧化72.Loop巡路73.Lot批M74.Mask(reticle)光罩75.Merge合并76.MetalVia金属接触窗77.MFG制造部78.Mid-Current中电流79.部门N80.NIT:Si3N4氮化

6、硅81.Non-critical非重要82.NP:n-dopedplus(N+)N型重掺杂83.NW:wellN阱O84.OD:definition定义氧化层85.OM:opticmicroscope光学显微镜86.OOC超出控制界线87.OOS超出规格界线88.Over过蚀刻89.flow溢出90.Overlay测量前层与本层之间曝光的准确度91.OX:SiO2二氧化硅P92.P.R.Photoresisit光阻93.P1:poly多晶硅94.PA;passivation钝化层95.Parent母批96.Particle含尘量/微尘粒子97.PE:1.processengineer;2.en

7、hance1、工艺工程师2、等离子体增强98.PH:photo黄光或微影99.Pilot实验的100.电浆101.Pod装晶舟与晶片的盒子102.Polymer聚合物103.PORProcessrecord104.PP:p-dopedplus(P+)P型重掺杂105.PR:resist106.PVD物理气相淀积107.PW:P阱Q108.Queue等待时间R109.R/C:runcard运作卡110.Recipe程式111.Release放行112.Resistance电阻113.Reticle114.RF射频115.RM:remove.消除116.Rotation旋转117.RTA:rapi

8、dthermalanneal迅速热退火118.RTP:迅速热处理S119.SA:salicide硅化金属120.SAB:block硅化金属阻止区121.SAC:sacrifice牺牲层122.Scratch刮伤123.Selectivity选择比124.SEM:scanningelectron扫描式电子显微镜125.Slot槽位126.Source-Head离子源127.SPC制程统计管制128.Spin129.旋干130.Sputter溅射131.SRO:Sirich富氧硅132.Stocker仓储133.Stress内应力134.STRIP:一种湿法刻蚀方式T135.TEOS(CH3CH2

9、O)4Si四乙氧基硅烷/正硅酸四乙酯,常温下液态。作LPCVD/PECVD生长SiO2的原料。又指用TEOS生长得到的SiO2层。136.Ti钛137.TiN氮化钛138.TM:topmetal顶层金属层139.TORToolU140.Under蚀刻不足141.USG:undoped硅玻璃W142.W(Tungsten)钨143.WEE周边曝光其它144.mainframe主机145.cassette晶片盒146.amplifier放大器147.enclosure外壳148.wrench扳手149.swagelok接头锁紧螺母150.clamp夹子151.actuator激励152.STIsh

10、allowtrenchisolantion浅沟道隔离层153.SAB硅铝块154.UBM球下金属层镀模工艺155.RDL金属连线重排工艺156.RIEreactinv反应离子etch157.ICPinductivecouple感应等离子体158.thinfilmtransistor薄模晶体管159.ALDatomicdeposition原子层淀积160.BGAballgridarray高脚封装161.AASabsorptionsspectroscopy原子吸附光谱162.AFMforcemicroscopy原子力显微163.ASIC特定用途集成电路164.ATE自动检测设备165.SIPsel

11、f-ionized自电离电浆166.IGBT绝缘门双极晶体管167.PMDpremetaldielectric电容168.TCUtemperaturecontrolunit温度控制设备169.arcchamber起弧室170.vaporizer蒸发器171.filament灯丝172.repeller反射板173.ELSextendedlifesource高寿命离子源174.analyzermagnet磁分析器175.postaccel后加速器176.quadrupolelens磁聚焦透镜177.disk/flagfaraday束流测量器178.e-shower中性化电子子发生器179.ext

12、rantionelectrode高压吸极180.disk靶盘181.rotarydrive旋转运动182.liner直线往复运动183.gyro两方向偏转184.flataligener平边检测器185.loadlockvalve靶盘腔装片阀186.reservoir水槽187.stringfilter过滤器188.离子交换器189.chiller制冷机190.heatexchange热交换机-BasicOperation基本工艺制程方法Options具体分类Layering增层Oxidation氧化Atmospheric常压氧化法HighPressure高压氧化法RapidThermal快速

13、热氧化ChemicalVaporDeposition化学汽相淀积常压化学汽相淀积(LPCVD)低压化学汽相淀积Enhanced(PECVD)等离子增强化学汽相淀积Epitaxy(VPE)汽相外延法Metaloranic(MOCVD)金属有机物CVDMoleculurBeamEpitaxy(MBE)分子束外延PhysicalDeposition(PCD)物理汽相淀积VacuumEvaporation真空蒸发法Sputtering溅射法Patterning光刻Resist光刻胶Positive正胶工艺Negative负胶工艺暴光系统接触式暴光Proximity接近式暴光ScanningProjec

14、tion投影式暴光Stepper步进暴光机Sources暴光源Mercury高压汞X-raysX射线E-Beams电子束暴光ImagingProcesses成象工艺Single单层光刻胶Multilayer多层光刻胶AntireflectingLayersOff-AxisIllumination偏轴照明Planarization平坦化ContrastEnhancement对比度提高刻蚀WetChemistry-Liqiud/vapor湿化学刻蚀Dry(Plasma)干法刻蚀Lift-Off剥脱IonMillling离子磨ReactionEtch(RIE)反应离子刻蚀法OpenTube-Hori

15、zontal/Vertical(开放式炉管-水平/竖置)ClosedTube封闭炉管Process(RTP)快速热处理ImplantationMedium/HighCurrent中/高电流离子注入Low/HighVoltage(energy)低能量/高能量离子注入Heating热处理Thermal加热Plates加热盘Convection热对流RTP快速加热Radiation热辐射Infrared(IR)红外线加热Yield良率Parameter参数PAC感光化合物ASIC特殊应用集成电路Solvent溶剂Carbide碳Refractive折射Expansion膨胀Strip湿式刻蚀法的一种

16、mentalPSG硼硅玻璃RuncardPOD装晶舟和晶片的盒子A/D军Analog.Digital,模拟/数字ACMagnitude交流幅度交流相位Accuracy精度ActivityModelModel活动模型Additive加成工艺Adhesion附着力Aggressor干扰源AnalogSource模拟源AOI,AutomatedOpticalInspection自动光学检查AssemblyVariant不同的装配版本输出Attributes属性AXI,AutomatedX-ray自动X光检查BIST,Built-inSelfTest内建的自测试BusRoute总线布线电路基准circ

17、uitdiagram电路图Clementine专用共形开线设计ClusterPlacement簇布局CM合约制造商CommonImpedance共模阻抗Concurrent并行设计Constant恒压源CooperPour智能覆铜Crosstalk串扰CVT,ComponentVerificationandTracking元件确认与跟踪DC直流幅度Delay延时DelaysDesignforTesting可测试性设计Designator标识DFC,DesignCost面向成本的设计DFM,DesignManufacturing面向制造过程的设计DFR,DesignReliability面向可靠

18、性的设计DFT,Design面向测试的设计DFX,DesignX面向产品的整个生命周期或某个环节的设计DSM,DynamicSetupManagement动态设定管理Dynamic动态布线EDIF,TheElectronicInterchangeFormat电子设计交互格式EIA,ElectronicIndustriesAssociation电子工业协会ElectroCheck动态电性能分析ElectromagneticDisturbance电磁干扰Noise电磁噪声EMC,ElctromagneticCompatibilt电磁兼容EMI,ElectromagneticInterference

19、Emulation硬件仿真EngineeringChangeOrder原理图与PCB版图的自动对应修改Ensemble多层平面电磁场仿真ESD静电释放FallTime下降时间FalseClocking假时钟FEP氟化乙丙烯FFT,FastFourierTransform快速傅里叶变换FloatLicense网络浮动FrequencyDomain频域GaussianDistribution高斯分布Globalflducial板基准GroundBounce地弹反射GUI,GraphicalUser图形用户界面Harmonica射频微波电路仿真HFSS三维高频结构电磁场仿真IBIS,Input/Ou

20、tputBufferInformationSpecification模型ICAM,IntegratedComputerAided在ECCE项目里就是指制作PCBIEEE,TheInstituteElectricalEngineers国际电气和电子工程师协会IGES,InitialGraphicsExchange三维立体几何模型和工程描述的标准ImageFiducial阻抗In-Circuit-Test在线测试Initial初始电压InputRise输入跃升时间IPC,ThePackagingInterconnect封装与互连协会IPO,InteractiveOptimizaton交互过程优化ISO,TheInternationalStandardsOrganization国际标准化组织JJumper跳线LinearSuit线性设计软件包个别基准manufacturing制造业MCMs,Multi-ChipModules多芯片组件MDE,MaxwellEnviron

copyright@ 2008-2023 冰点文库 网站版权所有

经营许可证编号:鄂ICP备19020893号-2