ImageVerifierCode 换一换
格式:DOCX , 页数:21 ,大小:406.14KB ,
资源ID:8281852      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bingdoc.com/d-8281852.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(简易洗衣机设计edaWord文档格式.docx)为本站会员(b****3)主动上传,冰点文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰点文库(发送邮件至service@bingdoc.com或直接QQ联系客服),我们立即给予删除!

简易洗衣机设计edaWord文档格式.docx

1、第四章 设计程序 8第五章 仿真结果 14第六章 真结果分析 16第七章 体会小结 17第八章 元器件清单 18第九章 参考文献 19前言 绪论1.背景与意义1.1 背景EDA技术是在电子CAD技术基础上发展起来的计算机软件系统,是指以计算机为工作平台,融合了应用电子技术、计算机技术、信息处理及智能化技术的最新成果,进行电子产品的自动设计。 利用EDA工具,电子设计师可以从概念、算法、协议等开始设计电子系统,大量工作可以通过计算机完成,并可以将电子产品从电路设计、性能分析到设计出IC版图或PCB版图的整个过程的计算机上自动处理完成。现在对EDA的概念或范畴用得很宽。包括在机械、电子、通信、航空

2、航天、化工、矿产、生物、医学、军事等各个领域,都有EDA的应用。目前EDA技术已在各大公司、企事业单位和科研教学部门广泛使用。例如在飞机制造过程中,从设计、性能测试及特性分析直到飞行模拟,都可能涉及到EDA技术。本文所指的EDA技术,主要针对电子电路设计、PCB设计和IC设计。1.2 意义随着信息科学的进步,现代电子产品的性能越来越高,复杂度越来越大,更新步伐也越来越快,电子技术的发展进入了划时代的阶段。其中电子技术的核心便是电子设计自动化EDA(Electronic Design Automatic)技术。EDA是指以计简易洗衣机控制器设计第一章 设计任务与要求1.1设计任务与要求(设计一简

3、易全自动洗衣机控制器。该控制器由两大状态A和B组成,每个状态分三个子状态,每个状态分别由选择A和选择B控制。其中A为步进选择按纽,每步跳转一个子状态、B也为步进选择按纽,但每步选择B中的所有组合中的一种。当启动时,时间序列控制器按已选的B类子状态顺序执行。过程启动由启动/暂停键控制(暂停键在过程启动后任意时间可暂停/恢复过程)过程启动后机盖开启应均能暂停过程,复盖间停30秒后重新继续原过程。A:强洗 标准 弱洗B:洗涤 漂洗 甩干 (洗涤,漂洗时电机分别正转、反转)强洗:(共36分钟) 洗涤 18分漂洗 14分甩干 4分(洗涤时电机分别正转4分、反转4分,正反转间停30秒;漂洗时电机分别正转3

4、分、反转3分,正反转间停30秒;甩干时电机分别正转1.5分,间停30秒)标准:(共26分钟) 洗涤 14分漂洗 8 分(洗涤时电机分别正转3分、反转3分,正反转间停30秒;漂洗时电机分别正转1.5分、反转1.5分,正反转间停30秒;弱洗(共20分钟) 洗涤 10分漂洗 6分(洗涤时电机分别正转2分、反转2分,正反转间停30秒;漂洗时电机分别正转1分、反转1分,正反转间停30秒;设定秒脉冲已给定,指示为LED,整过程完成后,蜂鸣器响30秒。整个设计为正逻辑。1.2基本原理洗衣机控制器的设计主要是定时器的设计,由一片FRGA和外围构成了电气控制部分。FPGA接收键盘的控制命令,控制洗衣机的进水、排

5、水、水位和洗衣机的工作状态、并控制显示工作状态以及设定直流电机速度、正反转控制、制动控制、启停控制和运动状态控制。对FPGA芯片的编程采用模块化的VHDL(硬件描述语言)进行设计,设计分为三层实现,顶层实现整个芯片的功能。顶层和中间层多数是由VHDL的元件例化语句实现。中间层由无刷直流电机控制、运行模式选择、洗涤选择、定时器、显示器控制、键盘扫描、水位控制以及对直流电机控制板进行速度设定、正反转控制、启停控制模块组成,他们分别调控底层模块。第二章 设计方案 设计时参考了已有程序,此芯片有五个输入和三个输出,输入COUNT_M和输入COUNT_N是状态控制键,输入START是输入启动和暂停键,以

6、及一个时钟CLK。输出是洗衣结束铃声端口和电机驱动端口。在设计中,为便于调试和观察将时钟周期假设为30S。本次设计大致可以分成两个模块来看待,控制端和工作端。控制部分使用了三个进程来处理,进程一控制状态COUNT_M,进程二控制状态COUNT_N,进程三控制开始和暂停。工作部分使用了一个进程来处理,在该进程中主要处理强制开盖,洗衣的各个状态,以及洗衣结束后的铃声。控制端COUNT_M中,SG是工作结束标志信号,信号TS控制洗衣机的暂停和重新启动,DT是状态的中间变量。COOKK为强开洗衣机盖子信号端,DCP输入状态中间信号。洗衣机正常工作过程:洗衣机总共有九种工作状态,这九种状态可以分成三大部

7、分,强洗,标准,弱洗,此三种状态的洗衣过程类似,因此以强洗来解释说明。洗涤完成后,将信号TP变换到漂洗状态,然后变换到甩干,甩干结束后将TP置零,以及输出状态置一。然后等待下次洗涤。图3 设计方案二第四章 设计程序LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY student IS PORT(COUNT_N,COUNT_M,START,COOK,CLK:IN STD_LOGIC; LOOK:OUT STD_LOGIC; DOUT :OUT STD_LOGIC_VECTOR(1 DOW

8、NTO 0);END STUDENT;ARCHITECTURE BEHAV OF student IS SIGNAL DT1,DT2:STD_LOGIC_VECTOR(1 DOWNTO 0); SIGNAL DICSOUNT,TEM:STD_LOGIC_VECTOR(3 DOWNTO 0); SIGNAL DCP: SIGNAL CT:STD_LOGIC_VECTOR(5 DOWNTO 0); SIGNAL CT1,CT2: SIGNAL SG,CMKS:STD_LOGIC; BEGINPROCESS(COUNT_N,SG) IF SG=1 THEN DT1=00; ELSIF COUNT_N

9、EVENT AND COUNT_N= IF DT1=3 THEN01 ELSE=DT1+1; END IF; END IF; END PROCESS; PROCESS(COUNT_M,SG) BEGIN DT2 ELSIF COUNT_MEVENT AND COUNT_M= IF DT2=3 THEN=DT2+1; PROCESS(START) BEGIN IF SG= CMKS=0 ELSIF STARTEVENT AND START= DICSOUNT=DT1&DT2;CMKS=CMKS XOR PROCESS(CLK,START,COOK) IF START= AND DCP=0000

10、DCP=DICSOUNT; ELSIF CLKEVENT AND CLK= IF COOK= DOUT ELSIF SG= IF CT10001 CT1SG IF CT35 THEN CT=CT+1; IF CT18 THEN DOUTCT1=CT1+1; ELSIF CT1=8 THEN ELSIF CT210CT2=CT2+1; ELSIF CT2=8 THEN END IF; DCP0110CT000000DOUT IF CT27 THEN CT6 THEN DOUT ELSIF CT1=6 THEN ELSIF CT2 END CASE; END IF; END PROCESS; LO

11、OK=SG; END BEHAV;第五章 仿真结果漂洗全部过程强洗 漂洗、甩干强洗(甩干)标准全部过程标准(漂洗、甩干)标准(甩干)弱洗全过程弱洗(漂洗、甩干)九、弱洗(甩干)强开盖子:一、 强洗强开盖一、 标准强开盖二、 弱洗强开盖暂停和启动一、 强洗暂停二、 强洗重新启动三、 标准暂停四、 标准重新启动五、 弱洗暂停六、 弱洗重新启动两次洗衣两次标准(漂洗、甩干)一、 两次弱甩干第六章 仿真结果分析在仿真的过程中,出现波形的结果基本符合要求,计数器的工作也很理想,“正转”、“反转”、“暂停”的指示灯动作也很好,定时结束时,自动清零,同时指示灯亮,提醒时间到。,唯一不足的是没有将电路置数的功

12、能设计好,只能按照预设的时间100分钟开始工作。除此之外,以至于出现的脉冲波形不是标准的占空比为百分之五十的方波。不过,总的来说,仿真结果还是比较令人满意的,比较成功。第七章 体会小结经过了这次课程设计,深有感触啊。每天都在想周这个问题该如何解决,那个地方有什么不对;大家都急啊,千呼万唤出来了,可以想象搞开发是如何的不容易了,工作更是不简单,生活不是我们在寝室里所想象的那样,首先自己的事情主要还是靠自己,虽然合作也同样重要,有一个好的合作伙伴也是不容忽视的,现在的很多工程、项目或者开发都是一个团体共同努力,每一个成员都要用功,一个项目或课题决定在几个人手里,那是不健康的、没有什么刚好的前途的,

13、从本次课程设计的目的来看,收获也是不少的,它使我们对课本以及以前学过的知识有了一个更好的总结与理解,也让我们知道了,光分开使不够的,从外面学到的知识要把形成一各整体,这也很好的训练了外面对开发一个项目应该有怎样的思路和准备更清晰。无论是从C语言课程设计到金工实习,还是从电子实习到现在的数电课程设计,每一次自己都觉得有一个进步,虽然有些方面自己做不出,但可以从老师和其他同学那里学到更好更多的东西,他们从另一个方面透析了自己的困难,这是很重要的,它让我学会了怎样学习别人的长处并把它变成自己的长处。有这样的机会和收获,要感谢老师的辅导以及同学的帮助,是他们让自己有了一个更好的认识,无论是学习还是生活,生活是实在的,要踏实走路,虽然自己走出来的路要崎岖的多,但觉得踏实、放心。

copyright@ 2008-2023 冰点文库 网站版权所有

经营许可证编号:鄂ICP备19020893号-2