ImageVerifierCode 换一换
格式:DOCX , 页数:8 ,大小:108.50KB ,
资源ID:8581511      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bingdoc.com/d-8581511.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(1616点阵显示综合实验Word下载.docx)为本站会员(b****6)主动上传,冰点文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰点文库(发送邮件至service@bingdoc.com或直接QQ联系客服),我们立即给予删除!

1616点阵显示综合实验Word下载.docx

1、16点阵,CLK,显示花样目 录一 概 述 5二 方案设计与论证5三 程序清单5四 器件编程与下载9五 性能测试与分析10六 实验设备10七 心得体会10八 参考文献11 课程设计任务书课题名称16点阵综合显示实验完成时间指导教师职称学生姓名班级总体设计要求和技术要点用EDA的VHDL语言编写1616点阵的程序,在时钟信号的控制下,通过控制行驱动和列选信号让其动态显示不同的字符及花样。通过编译并下载到EDA实验箱进行验证。工作内容及时间进度安排第14周:周一、周二:设计项目的输入、编译、仿真周三:器件编程下载与硬件验证周四:成果验收与总结周五:撰写课程设计总结报告课程设计成果 用VHDL语言准

2、确编写出了设计题目的要求,通过了编译,在硬件实验中经过几次的调试看到了预期的效果。一、概述在时钟信号的控制下,使1616点阵管花样点亮,在EDA试验仪中,1616点阵显示列的驱动已经做好,其列选信号为SELOUT3.0,送到4线-16线译码电路,译码电路的输出通过8只75451(双2输入与门,OC门)驱动器驱动1616点阵管的16条共阴极列线;所以在设计点阵控制接口时,其列选信号必须由SELOUT3.0输出去控制译码电路。对于信号的频率,采用与七段数码管的位选信号一样的处理方法,即扫描频率大于24Hz;通过CLK信号控制行驱动与列选信号使其动态依次显示”中国人“三个字。其中CLK为时钟输入端,

3、DIN3.0为花样显示模式选择,doout15.0为行驱动信号输出;SELOUT3.0为列选信号输出,去驱动4-16译码电路产生1616点阵管的列选信号。二、方案设计与论证 该程序由三个进程信号组成,进程K1通过CLK信号控制扫描频率s以及计数信号q,进而由q的记述周期控制cp信号。进程k2由cp信号控制汉字的扫描周期s0,实现汉字的依次显示,进程k3由扫描信号s控制点阵的行驱动和列选信号,使其准确显示中国人三个字。用VHDL语言编写程序,经过上级调试与编译,并下载到硬件观察实验结果。三、程序清单library ieee;use ieee.std_logic_1164.all;use ieee

4、.std_logic_unsigned.all;entity A1 is port(clk,rst:in std_logic;din :in std_logic_vector(3 downto 0);dotout :out std_logic_vector(15 downto 0);selout:OUT std_logic_vector(3 downto 0);end A1;architecture a of A1 issignal q:std_logic_vector(7 downto 0);signal so:std_logic_vector(1 downto 0);signal cp:s

5、td_logic;signal s:std_logic_vector(3 downto 0);beginK1:processif rising_edge(clk) thenif s=1111 thens=0000;if q=11111111thenq00000000cp=1else q=q+1;0end if;else s=s+1;end process K1;K2:if rising_edge(cp) thenif so=11so00else soseloutdotoutnull;end case;elsif so=01case s is 11111111111111100100000000

6、00001001001000000010100100111010001010010010011000101001001000100010100100111111111010 elsif so=1001000000000000000010000000000000000100000000000000001000000000000000010000000000000000100000000000000001000000000000000011111111else seloutZZZZZZZZZZZZZZZZZZZZend process K3;end a;四、器件编程与下载通过了编译,接下来我们开始

7、对器件进行引脚的锁定,引脚的锁定办法如下图所示。同时编译以后的器件封装如下图所示完成编译及引脚的锁定以后将其下载到EDA综合实验箱中,连接线路,观察实验结果五、性能测试与分析 完成线路连接以后,加CLK 信号,由于信号加的频率过快以及线路的虚接不能完整的显示,经过几次调试,改变频率信号及检查线路问题基本完成了正常的显示,达到预期的效果。六、实验设备EDA 综合试验箱,电脑七、心得体会经过这次课程设计,我进一步加深了对VHDL 语言的理解,并进一步熟练了对MAX+plus软件的操作。在编写程序的过程中,我遇到了许多问题知道了自己学习中的不足,通过与同学的的探讨终于把问题都解决了,并加深了对点阵原理和设计思路的了解。为以后的设计积累了一定得经验。做课设就应该对自己所设计的方向有一定得了解,运用所学的东西进行编写程序。总之,通过这次课设,进一步了解了EDA技术,收获很多,对软件编程,排错调试,想关设备的使用技能等方面得到较全面的锻炼和提高。八、参考文献EDA技术与实验 机械工业出版社指导教师评语及设计成绩 评 语 课程设计成绩:指导教师:日期: 年 月 日

copyright@ 2008-2023 冰点文库 网站版权所有

经营许可证编号:鄂ICP备19020893号-2