ImageVerifierCode 换一换
格式:DOCX , 页数:23 ,大小:739.26KB ,
资源ID:9020465      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bingdoc.com/d-9020465.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(四位二进制减计数器概要.docx)为本站会员(b****0)主动上传,冰点文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰点文库(发送邮件至service@bingdoc.com或直接QQ联系客服),我们立即给予删除!

四位二进制减计数器概要.docx

1、四位二进制减计数器概要成绩评定表学生姓名班级学号120306专业通信工程课程设计题目四位二进制减计 数器评语组长签字:成绩日期2014年7月15日课程设计任务书通信工程信息科学与工程学院实践教学要求与任务:1、 了解数字系统设计方法2、 熟悉VHDL语言及其仿真环境、下载方法3、 熟悉Multisim环境4、 设计实现四位二进制减计数器(缺1001,1010)工作计划与进度安排:第一周 熟悉Multisim环境及QuartusH环境,练习数字系统设计方法, 包括采用触发器设计和超高速硬件描述语言设计,体会自上而 下、自下而上设计方法的优缺点。第二周 在QuartusH环境中用VHDL语言实现四

2、位二进制减计数器(缺1001,1010 )显示结果波形,并下载到目标芯片上,在实验箱上观察输出结果。在Multisim环境中仿真实现四位二进制减计数器 (缺 1001,1010),并通过虚拟器验证其正确性。摘要Quartus II是Altera公司的综合性PLD/FPGA开发软件,支持原理图、VHDL、 VerilogHDL 以及 AHDL (Altera Hardware Description Language 等多种设计输入 形式,内嵌自有的综合器以及仿真器,可以完成从设计输入到硬件配置的完整PLD 设计流程。Multisim 是 Interactive Image Technologi

3、es (Electronics Workbench 公司推出 的以Windows为基础的仿真工具,适用于板级的模拟/数字电路板的设计工作。 它包含了电路原理图的图形输入、 电路硬件描述语言输入方式, 具有丰富的仿真 分析能力。 Multisim 为用户提供了丰富的元器件,并以开放的形式管理元器件, 使得用户能够自己添加所需要的元器件。在Quartusll8.1软件中,建立名为wq的工程,用四位二进制减法计数器的 VHDL 语言实现了四位二进制减法计数器的仿真波形图, 同时进行相关操作, 锁 定了所需管脚,将其下载到实验箱。在Multisim软件中,通过选用四个时钟脉冲下降沿触发的 JK触发器和

4、同步电路,画出其时序图,卡诺图,建立相关方程,做出相关计算,完成四位二进制 减法计数器(缺 1001,1010)的驱动方程。在 Multisim 软件里画出了四位二进制 减法计数器的逻辑电路图。 分析由红绿灯的亮灭顺序及状态, 和逻辑分析仪里出 现波形图,证明四位二进制减法计数器设计成功。关键字:VHDL语言;四位二进制减计数器; QUARTUS II; Multisim1.课程设计目的2.设计框图 .3.实现过程 .3.1 QUartuS实现过程.3.1.1 建立工程3.1.2 VHDL源程序3. 1 .3波形仿真3. 1 .4引脚锁定与下载3. 1 .5仿真结果分析 .3.2 MULTIS

5、IM实现过程3.2.1 求驱动方程3.2.2画逻辑电路图 .3.2.3逻辑分析仪的仿真3.2.4 结果分析4. 总结 .5. 参考文献11121314151.了解四位二进制减法计数器的工作原理和逻辑功能;2.学会用VHDL语言对计数器进行编译和仿真;3.掌握Quartusll的使用方法;4.掌握Multisim的使用方法。2.设计框图状态转换图是描述时序电路的一种方法, 具有形象直观的特点,即其把所用 触发器的状态转换关系及转换条件用几何图形表示出来,十分清新,便于查看。在本课程设计中,四位二进制同步减法计数器用四个 CP下降沿触发的JK 触发器实现,其中有相应的跳变,即跳过了 1001 10

6、10两个状态,这在状态转换 图中可以清晰地显示出来。具体结构示意框图和状态转换图如下:CP四位二进制同步减法计数器C输入减法计数脉冲输出进位信号A :结构示意框图(缺 1001,1010)B:状态转换图3.实现过程3.1. QuartusH实现过程3.1.1建立工程创建一个新工程。点击Next,(1)点击 File - New Project Wizard I Fau 比mjM图2是否添加文件图3设备选择(4)点击Next,系统显示如图4,提示是否需要其他EDA工具,这里不选任何其他工具;New Project Wizjfd:_EDA 4 of 51Epecfy the other EDA 也

7、口1呂 “ in addition Io lhe Qualus II 呂Hw日re used wkh lhe proiecl.Design Entry/S5ilbftSE SimulationTool name- jr 口 rm;厂 r r tnp.ction ELionahc吕b 二临已CQuipit lio:-T irrrg Analyag To al name- jdJone、厂 akj?忙曲 iMtonriicaib 弍Z -uippMiDn图4是否需要其他EDA工具(5)点击Next后,系统提示创建工程的各属性总结,若没有错误,点击Finish,工程创建向导将生成一个工程, 在窗口左

8、侧显示出设备型号和该工程的基本信息等;糾nA emiiC Hnrv图5创建工程的各属性总结3.1.2 VHDL源程序 library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;en tity wq isport(C P,r:in std_logic;q:out std_logic_vector(3 dow nto 0); end wq;architecture behavioral of wq issig nal cou nt:std_logic_vector(3 dow nto 0);beginp roc

9、ess(c p,r)beg inif r=0 then cou ntv=1111;elsif cp eve nt and cp=1 the n if cou nt=1011 the ncou ntv=1000;else coun t=co un t-1; if cou nt=0000then cou ntv=1111;else coun t=co un t-1;end if;end if;end if;end p rocess;qNew创建一个设计文件,选择设计文件的类型为如图6;J -I TS- E 1 P3 a : * 5 他 0 t. 喩 心 u图6创建设计文件M4JM图7编辑程序(3)

10、点击P rocessi ng-Start Com pi lati on编译该文件,系统将开始编译,结束后,给出提示信息和编译结果,如图 8所示;J_MMh 和旺 w A 3“峠 |U| k * 吨 k 旳 IEI| 、沁F 区 A CJ 杠fl kz d 5悴碱13 LwF HaiuDdHI- 创 1 |l . “Rr hkti. piT Fl图9建立时序仿真文件(6)出现的界面中,在 Name空白处击右键,Insert tInsert Node or Bus单击*0 O ,再单击 M TOQOK并对其进行仿真,如图10Node Finder. 亠 ,单击 所示;Q gurhn. L - r/

11、wq - g - pwkmijvwPl0 柝 Edit Mm Rijvct A可ETHrti *书 Fodi Vlhv l-kdp IjQd 口 O d F |WH耳阿尹华好心二弋畑BO*辔谕心UEhlnrlllZAE n矗:pJ1二范4 nirhrrd T14TulffhZ -r pfLLfahi4V la A SpvllBBI L# 申 ril-ir frJ-Ki 4 lolfJ丿 Jj- UMihu 1 J.bJC.学V 申1 Lnijc AmIti-i七 k lU hcLin Bm Txr. h-m gr. hruH - ! rR A4 Ll? m BQ MW 9 mW 9 m l3

12、仃|W3仃1唧TTi3 1英规iHrin p u制1JDCHCTA DEHI Q qh MM3n rA DK P- 1hP4 11p31DH.HniuMnwdE: LDdfls JlLlvie *e &E. g 小 J tdLujl DC PCrtd- 4E -|4H*EC_ur: CmciA dE Had cr Dcr g| L dLUjl CttCUd. ill mt 4t hiO -止 hEE_ur: CmciA dE Had :r tr gfJ:川:工a沁黑4二1 -CuLtd.*EuL*d.-CuLtd.EuLkJ.bLxciud hjibtwaHElUE HE UEIddd-jCH

13、. UlBTEKl. Uiitiltil, UlHEKl.PCrtd- 4EHodl UE4EHoll UEMb -qU|- UHM, imii, bur SIH q3 | ZEi3jf laari. w lurt -qUi- U.etM tiltli, bjr aui q!l| &13丫 ua-Ri 貝 Up im & EaMaPm h C 加 Ir k mg 扎 7, h 占 t k 2iHigd 牡比 tj 到到门图10仿真(7)仿真结果,如图11所示;(8)仿真后存盘3.1.4引脚锁定与下载各引脚的锁定如表1所示:信号名称引脚cp28q3101q2100q199qO98r53表1锁引脚

14、引脚的锁定和下载分别如图12和图13所示:Filt EditFudiSwu-1 OncmlALltblI担EM1 瘁Lbd 1ntwv*d1 亠* CP|riMPJM_SSflljllix5-vLmtefcwo3 0000由此可见,在CP操作下都能回到有效状态,即电路能够自启动。3.2.4结果分析Multism是一种虚拟仪器,可以用来验证电路的设计的正确性。根据相关计 算,得出时序电路的时钟方程、状态方程、驱动方程,从而选择合适触发器来连 接实现。本设计中,选用四个时钟脉冲下降沿触发的 JK触发器来实现四位二进制减法计数器。逻辑电路图中,四个小红灯即为显示器,灯亮表示“ 1”,灭表示“0”,从

15、而达到计数目的。由于其中缺了 1001,1010两种状态,所以在计数过程中会发生跳变,即先 从1011跳到1000,再由0000直接跳回到1111,周而复始。逻辑分析仪类似于 Quartus n环境下的波形仿真,是对计数器的另一种直观的描述。其中,高电平 表示“ 1”,低电平表示“ 0”,也可以对计数器的功能进行测试及检验。4.总结在本次数字电路课程设计中,我花了较多的时间查阅资料,进行反复练习 使我对二进制减法计数器掌握得更加熟练。 这对我以后学习相关的课程以及进行 更高层次的数字电路设计都奠定了不错的基础。在设计过程中, 出现了各种各样的问题,有些是单一原因引起的,有的是综 合原因引起的,

16、 这些都很考验我的毅力与坚持。 但是我掌握了研究这类问题的方 法,即问题解决的过程就是要从问题所表现出来的情况出发,通过反复推敲, 作 出相应判断,逐步找出问题的症结所在,从而一举击破。对于数字电路设计, 尤其在使用 Multism 进行逻辑电路的连接与分析时, 这 种分析解决问题的能力就更为重要。 要在复杂的电子器件和密密麻麻的连线中找 出头绪来,并不是一件很容易的事情。往往要重新再来一次,但是这样的问题就 出在计算上,尤其是在化简卡诺图时, 务必小心谨慎, 一个字符写错或者漏掉一 些信息,就会导致驱动方程错误,逻辑电路就不能实现最初的设计功能。在学习过数字电路技术基础简明教程之后,我已经算是掌握了一定的数 字电路设计的基础以及相应的分析方法、 实践能力以及自学能力。 虽然遇到了不 少问题,但是在向老师和同学请教的学习过程中,我又改正了不少错误的认识, 对数字电路的设计与分析方法的掌握也有了一定的提高, 我相信这些知识与经验 对以后的学习会有极大的帮助。5.参考文献1. 数字电子技术基础简明教程 (第三版) 高等教育出版社 余孟尝主编;2. 数字集成电子技术教程 高等教育出版社 李世雄,丁康源主编;3.2001。数字逻辑与硬件描述语言实验指导书 沈阳理工大学信息学院技术中心 王东明,喻红婕, 吴迪主编;4.VHDL实用教程.潘松,王国栋,西安:电子科技大学出版社,

copyright@ 2008-2023 冰点文库 网站版权所有

经营许可证编号:鄂ICP备19020893号-2