电子设计自动化技术实验.docx

上传人:b****3 文档编号:10249147 上传时间:2023-05-24 格式:DOCX 页数:26 大小:1.26MB
下载 相关 举报
电子设计自动化技术实验.docx_第1页
第1页 / 共26页
电子设计自动化技术实验.docx_第2页
第2页 / 共26页
电子设计自动化技术实验.docx_第3页
第3页 / 共26页
电子设计自动化技术实验.docx_第4页
第4页 / 共26页
电子设计自动化技术实验.docx_第5页
第5页 / 共26页
电子设计自动化技术实验.docx_第6页
第6页 / 共26页
电子设计自动化技术实验.docx_第7页
第7页 / 共26页
电子设计自动化技术实验.docx_第8页
第8页 / 共26页
电子设计自动化技术实验.docx_第9页
第9页 / 共26页
电子设计自动化技术实验.docx_第10页
第10页 / 共26页
电子设计自动化技术实验.docx_第11页
第11页 / 共26页
电子设计自动化技术实验.docx_第12页
第12页 / 共26页
电子设计自动化技术实验.docx_第13页
第13页 / 共26页
电子设计自动化技术实验.docx_第14页
第14页 / 共26页
电子设计自动化技术实验.docx_第15页
第15页 / 共26页
电子设计自动化技术实验.docx_第16页
第16页 / 共26页
电子设计自动化技术实验.docx_第17页
第17页 / 共26页
电子设计自动化技术实验.docx_第18页
第18页 / 共26页
电子设计自动化技术实验.docx_第19页
第19页 / 共26页
电子设计自动化技术实验.docx_第20页
第20页 / 共26页
亲,该文档总共26页,到这儿已超出免费预览范围,如果喜欢就下载吧!
下载资源
资源描述

电子设计自动化技术实验.docx

《电子设计自动化技术实验.docx》由会员分享,可在线阅读,更多相关《电子设计自动化技术实验.docx(26页珍藏版)》请在冰点文库上搜索。

电子设计自动化技术实验.docx

电子设计自动化技术实验

电子设计自动化技术实验

实验一熟悉Quartusll的图形输入法

1、实验目的

掌握Quartusll的使用方法

(1)熟悉图形输入法

(2)理解编译方法

(3)了解定时仿真

2、实验内容

(1)设计一个二选一数据选择器,全加器

(2)根据图形输入法编译和波形仿真

3、实验要求

(1)熟悉图形逻辑输入法

(2)理解编译方法,了解功能仿真的方法和定时仿真的方法

(3)了解把逻辑变成一个逻辑符号的方法

4、实验步骤

(1)建立设计项目

在Quartusll管理器窗口中选择菜单file\newprojectwizard…,出现新建项目向导newprojectwizard对话框的第一页,在对话框中输入项目路径,项目名称和顶层实体文件名mux21-3

新建项目向导第二页,单击按钮”…”,可浏览文件选项,添加或删除与该项目有关的文件,然后next

新建项目向导第三页,根据器件的封装形式,引脚数量和速度级别选择目标器件,选择cyclone,即系列中的EPLC6Q24C8芯片,然后单击next

新建项目向导第四页,添加第三方EDA综合,仿真,定时等分析工具,系统默认选项Quartusll的分析工具

在新建项目向导对话框的最后一页,给出前面输入内容的总览,单击finish按钮,mux21-3项目出现在项目导航窗口

2、输入文本文件

新建VHDL文本文件,在Quartusll管理器界面中选择菜单file\new…,或单击新建文件按钮,出现new对话框,在DesignFile…中选择VHDLFile,单击ok按钮,打开文本编辑器,在文本编辑器窗口下,按照VHDL语言规则输入设计文件,并将其保存

输入二选一数据选择器文件为

Libraryieee;

Useieee.std_logic_1164.all;

Entitymux21_3is

Port(i0,i1,sel:

instd_logic;y:

outstd_logic);

Endmux21_3;

Architectureverlofmux21_3is

Begin

Withselselet

y<=i0when’0’;

i1when’1’;

‘X’whenothers;

Endverl;

3、执行编译

设置顶层文件:

首先打开准备进行编译的mux21-3.vhd,执行菜单命令project/setasTOP_levelEtity,下面进行设计处理的各项操作就是针对顶层文件mux21-3进行的。

执行编译:

选择菜单project\startcompilation,或直接单击工具栏的编译快捷按钮,开始执行编译操作,如果编译有错误,需要重新修改设计,重新进行编译

4、波形仿真

(1)新建波形文件

选择菜单file\new…,在new对话框中选择Verfication\DebuggingFile\vectorwaveformfile,出现波形编辑窗口,将文件保存为mux21-3.vwf

(2)设置仿真器

鼠标右键单击项目名选择Setting或者直接选择菜单命令Assignments\setting…,在settings对话框的Category栏目下选择SimulatorSettings,在右侧出现的对话框中设置仿真器,选择Functional

(3)插入仿真节点

在波形编辑窗口的name栏目下,单击鼠标右键,选择Insert\Insertnodeorbus…,出现Insertnodeorbus的对话框,查找节点信息,插入节点

选择合理的筛选范围,单击list,列出所选节点信号,接着在nodesfound栏目下选择波形仿真需要观察的节点信号,然后单击送入选中按钮,在selectednodes栏目下,列出选中节点,全部节点选择完成后,按ok确认

5、编辑输入波形

选择菜单Edit\EndTime…,根据需要修改最大仿真时间

选择菜单Edit\Gridsize…,根据需要修改网格大小

6、运行仿真器

选择菜单Projecting\startsimulation或者单击工具栏中的仿真快捷键按钮运行仿真程序

仿真得到的图形如下

原理图文件输入

1、建立原理图文件

选择菜单file\new…在出现的对话框中选择DesignFile\BlockDiagram\SchematicFile,则打开图形编辑器,出现空白的原理图文件,选择菜单file\Saveas…,输入文件名,保存该文件

2、使用模块符号库

图形编辑器的左侧是输入按钮,最常用的是模块符号组,单击模块符号按钮,打开symbol对话框,在对话框中,输入各种逻辑电路符号,绘制电路图如下

对该电路图进行仿真,仿真图形如下

 

实验二熟悉Quarstusll的VHDL语言描述输入法

一、实验目的

(1)VHDL语言描述输入法

(2)理解编译方法

(3)熟悉波形仿真

1、实验内容

(1)设计一个4位并行奇校验发生器

(2)根据VHDL语言描述输入法编译和波形的仿真

2、实验要求

(1)、熟悉VHDL语言描述输入法

(2)、理解编译方法,了解功能仿真的方法和定时仿真的方法

(3)、了解把逻辑功能变成一个逻辑符号的方法

3、实验步骤

在Quartusll管理器窗口中选择菜单file\newprojectwizard…,出现新建项目向导newprojectwizard对话框的第一页,在对话框中输入项目路径,项目名称和顶层实体文件名parity-loop新建项目向导第二页,单击按钮”…”,可浏览文件选项,添加或删除与该项目有关的文件,然后next

新建项目向导第三页,根据器件的封装形式,引脚数量和速度级别选择目标器件,选择cyclone,即系列中的EPLC6Q24C8芯片,然后单击next

新建项目向导第四页,添加第三方EDA综合,仿真,定时等分析工具,系统默认选项Quartusll的分析工具

在新建项目向导对话框的最后一页,给出前面输入内容的总览,单击finish按钮,parity-loop项目出现在项目导航窗口

2、输入文本文件

新建VHDL文本文件,在Quartusll管理器界面中选择菜单file\new…,或单击新建文件按钮,出现new对话框,在DesignFile…中选择VHDLFile,单击ok按钮,打开文本编辑器,在文本编辑器窗口下,按照VHDL语言规则输入设计文件,并将其保存

程序如下:

 

3、执行编译

设置顶层文件:

首先打开准备进行编译的mux21-3.vhd,执行菜单命令project/setasTOP_levelEtity,下面进行设计处理的各项操作就是针对顶层文件mux21-3进行的。

执行编译:

选择菜单project\startcompilation,或直接单击工具栏的编译快捷按钮,开始执行编译操作,如果编译有错误,需要重新修改设计,重新进行编译

4、波形仿真

(1)新建波形文件

选择菜单file\new…,在new对话框中选择Verfication\DebuggingFile\vectorwaveformfile,出现波形编辑窗口,将文件保存为parity-loop.vwf

(2)设置仿真器

鼠标右键单击项目名选择Setting或者直接选择菜单命令Assignments\setting…,在settings对话框的Category栏目下选择SimulatorSettings,在右侧出现的对话框中设置仿真器,选择Functional

(4)插入仿真节点

在波形编辑窗口的name栏目下,单击鼠标右键,选择Insert\Insertnodeorbus…,出现Insertnodeorbus的对话框,查找节点信息,插入节点

选择合理的筛选范围,单击list,列出所选节点信号,接着在nodesfound栏目下选择波形仿真需要观察的节点信号,然后单击送入选中按钮,在selectednodes栏目下,列出选中节点,全部节点选择完成后,按ok确认

5、编辑输入波形

选择菜单Edit\EndTime…,根据需要修改最大仿真时间

选择菜单Edit\Gridsize…,根据需要修改网格大小

6、运行仿真器

选择菜单Projecting\startsimulation或者单击工具栏中的仿真快捷键按钮运行仿真程序

仿真得到的图形如下

 

实验三JK触发器的设计

1实验目的:

掌握QuartusII的VHDL语言描述输入法

(1)掌握VHDL语言描述输入法

(2)掌握VHDL语言

2、实验内容

(1)设计一个JK触发器

(2)根据VHDL语言描述输入法编译和波形仿真。

3、实验要求

(1)熟悉VHDL语言描述输入法

(2)设计1位带异步复位/置位功能的JK触发器VHDL源程序(低电平有效

(3)用QuartusII软件编译和波形仿真

(4)把自己认为好的实验结果写成实验报告。

(要计成绩)

输入

输出

prn

clr

clk

J

K

Q

Qb

0

1

x

x

x

1

0

1

0

x

x

x

0

1

0

0

x

x

x

x

x

1

1

上升沿

0

0

不变

不变

1

1

上升沿

0

1

0

1

1

1

上升沿

1

0

1

0

1

1

上升沿

1

1

翻转

翻转

其中预置端prn复位端clr时钟端clk

 

实验步骤

在Quartusll管理器窗口中选择菜单file\newprojectwizard…,出现新建项目向导newprojectwizard对话框的第一页,在对话框中输入项目路径,项目名称和顶层实体文件名JK新建项目向导第二页,单击按钮”…”,可浏览文件选项,添加或删除与该项目有关的文件,然后next

新建项目向导第三页,根据器件的封装形式,引脚数量和速度级别选择目标器件,选择cyclone,即系列中的EPLC6Q24C8芯片,然后单击next

新建项目向导第四页,添加第三方EDA综合,仿真,定时等分析工具,系统默认选项Quartusll的分析工具

在新建项目向导对话框的最后一页,给出前面输入内容的总览,单击finish按钮,项目出现在项目导航窗口

2、输入文本文件

新建VHDL文本文件,在Quartusll管理器界面中选择菜单file\new…,或单击新建文件按钮,出现new对话框,在DesignFile…中选择VHDLFile,单击ok按钮,打开文本编辑器,在文本编辑器窗口下,按照VHDL语言规则输入设计文件,并将其保存

程序如下:

libraryieee;

useieee.std_logic_1164.all;

entityJKis

port(prn,clr,clk,J,K:

instd_logic;

Q,Qb:

outstd_logic);

endJK;

architectureaofJKis

signala,b:

std_logic;

begin

process(prn,clr,clk,J,K,a,b)

begin

ifprn='0'andclr='0'then

a<='X';

b<='X';

elsifprn='0'andclr='1'then

a<='1';

b<='0';

elsifprn='1'andclr='0'then

a<='0';

b<='1';

else

ifrising_edge(clk)then

ifj='0'then

ifk='0'then

null;

else

a<='0';

b<='1';

endif;

else

if(k='0')then

a<='1';

b<='0';

else

a<=nota;

b<=notb;

endif;

endif;

endif;

endif;

endprocess;

q<=a;

qb<=b;

enda;

 

3、执行编译

设置顶层文件:

首先打开准备进行编译的JK.vhd,执行菜单命令project/setasTOP_levelEtity,下面进行设计处理的各项操作就是针对顶层文件JK进行的。

执行编译:

选择菜单project\startcompilation,或直接单击工具栏的编译快捷按钮,开始执行编译操作,如果编译有错误,需要重新修改设计,重新进行编译

4、波形仿真

(1)新建波形文件

选择菜单file\new…,在new对话框中选择Verfication\DebuggingFile\vectorwaveformfile,出现波形编辑窗口,将文件保存为JK.vwf

(2)设置仿真器

鼠标右键单击项目名选择Setting或者直接选择菜单命令Assignments\setting…,在settings对话框的Category栏目下选择SimulatorSettings,在右侧出现的对话框中设置仿真器,选择Functional

(5)插入仿真节点

在波形编辑窗口的name栏目下,单击鼠标右键,选择Insert\Insertnodeorbus…,出现Insertnodeorbus的对话框,查找节点信息,插入节点

选择合理的筛选范围,单击list,列出所选节点信号,接着在nodesfound栏目下选择波形仿真需要观察的节点信号,然后单击送入选中按钮,在selectednodes栏目下,列出选中节点,全部节点选择完成后,按ok确认

5、编辑输入波形

选择菜单Edit\EndTime…,根据需要修改最大仿真时间

选择菜单Edit\Gridsize…,根据需要修改网格大小

6、运行仿真器

选择菜单Projecting\startsimulation或者单击工具栏中的仿真快捷键按钮运行仿真程序

仿真得到的图形如下

 

实验四6位双向移位寄存器的设计

1实验目的:

掌握QuartusII的VHDL语言描述输入法

(1)掌握VHDL语言描述输入法

(2)掌握VHDL语言

(3)理解if语句进行描述计数器。

2、实验内容

(1)设计一个6位双向移位寄存器

(2)根据VHDL语言描述输入法编译和波形仿真。

3、实验要求

(1)熟悉VHDL语言描述输入法

(2)设计一个移位寄存器的VHDL程序,既能从高位向低位移动,又能从低位向高位移动。

端口说明:

预置数据输入端:

predata

脉冲输入端:

clk

移位寄存器输出端:

dout

工作模式控制端:

M1,M0

左移串行数据输入:

ds1

右移串行数据输入(低位向高位):

dsr

寄存器复位端:

reset

(3)用QuartusII软件编译和波形仿真

(4)把自己认为好的实验结果写成实验报告。

(要计成绩)

(5)工作模式控制表:

M1M0

模式

00

保持

01

右移

10

左移

11

预加载

3、实验步骤

在Quartusll管理器窗口中选择菜单file\newprojectwizard…,出现新建项目向导newprojectwizard对话框的第一页,在对话框中输入项目路径,项目名称和顶层实体文件名shuangxiangyiweijicun新建项目向导第二页,单击按钮”…”,可浏览文件选项,添加或删除与该项目有关的文件,然后next

新建项目向导第三页,根据器件的封装形式,引脚数量和速度级别选择目标器件,选择cyclone,即系列中的EPLC6Q24C8芯片,然后单击next

新建项目向导第四页,添加第三方EDA综合,仿真,定时等分析工具,系统默认选项Quartusll的分析工具

在新建项目向导对话框的最后一页,给出前面输入内容的总览,单击finish按钮,项目出现在项目导航窗口

2、输入文本文件

新建VHDL文本文件,在Quartusll管理器界面中选择菜单file\new…,或单击新建文件按钮,出现new对话框,在DesignFile…中选择VHDLFile,单击ok按钮,打开文本编辑器,在文本编辑器窗口下,按照VHDL语言规则输入设计文件,并将其保存

程序如下:

 

3、执行编译

设置顶层文件:

首先打开准备进行编译的shuangxiangyiweijicun.vhd,执行菜单命令project/setasTOP_levelEtity,下面进行设计处理的各项操作就是针对顶层文件JK进行的。

执行编译:

选择菜单project\startcompilation,或直接单击工具栏的编译快捷按钮,开始执行编译操作,如果编译有错误,需要重新修改设计,重新进行编译

4、波形仿真

(1)新建波形文件

选择菜单file\new…,在new对话框中选择Verfication\DebuggingFile\vectorwaveformfile,出现波形编辑窗口,将文件保存为shuangxiangyiweijicun.vwf

(2)设置仿真器

鼠标右键单击项目名选择Setting或者直接选择菜单命令Assignments\setting…,在settings对话框的Category栏目下选择SimulatorSettings,在右侧出现的对话框中设置仿真器,选择Functional

(6)插入仿真节点

在波形编辑窗口的name栏目下,单击鼠标右键,选择Insert\Insertnodeorbus…,出现Insertnodeorbus的对话框,查找节点信息,插入节点

选择合理的筛选范围,单击list,列出所选节点信号,接着在nodesfound栏目下选择波形仿真需要观察的节点信号,然后单击送入选中按钮,在selectednodes栏目下,列出选中节点,全部节点选择完成后,按ok确认

5、编辑输入波形

选择菜单Edit\EndTime…,根据需要修改最大仿真时间

选择菜单Edit\Gridsize…,根据需要修改网格大小

6、运行仿真器

选择菜单Projecting\startsimulation或者单击工具栏中的仿真快捷键按钮运行仿真程序

仿真得到的图形如下

 

实验五电子钟的VHDL程序设计

1实验目的:

掌握QuartusII的VHDL语言描述输入法

(1)掌握VHDL语言描述输入法

(2)掌握VHDL语言

(3)掌握VHDL语言描述和图形设计的结合

2、实验内容

(1)设计电子钟的VHDL程序

(2)根据VHDL语言描述输入法编译和波形仿真。

3、实验要求

(1)熟悉VHDL语言描述输入法

(2)设计一个含时、分、秒的时钟

(3)用QuartusII软件编译和波形仿真

(4)把自己认为好的实验结果写成实验报告。

(要计成绩)

 

4、实验步骤

在Quartusll管理器窗口中选择菜单file\newprojectwizard…,出现新建项目向导newprojectwizard对话框的第一页,在对话框中输入项目路径,项目名称和顶层实体文件名time新建项目向导第二页,单击按钮”…”,可浏览文件选项,添加或删除与该项目有关的文件,然后next

新建项目向导第三页,根据器件的封装形式,引脚数量和速度级别选择目标器件,选择cyclone,即系列中的EPLC6Q24C8芯片,然后单击next

新建项目向导第四页,添加第三方EDA综合,仿真,定时等分析工具,系统默认选项Quartusll的分析工具

在新建项目向导对话框的最后一页,给出前面输入内容的总览,单击finish按钮,项目出现在项目导航窗口

2、输入文本文件

新建VHDL文本文件,在Quartusll管理器界面中选择菜单file\new…,或单击新建文件按钮,出现new对话框,在DesignFile…中选择VHDLFile,单击ok按钮,打开文本编辑器,在文本编辑器窗口下,按照VHDL语言规则输入设计文件,并将其保存

程序如下:

 

3、执行编译

设置顶层文件:

首先打开准备进行编译的time.vhd,执行菜单命令project/setasTOP_levelEtity,下面进行设计处理的各项操作就是针对顶层文件time进行的。

执行编译:

选择菜单project\startcompilation,或直接单击工具栏的编译快捷按钮,开始执行编译操作,如果编译有错误,需要重新修改设计,重新进行编译

4、波形仿真

(1)新建波形文件

选择菜单file\new…,在new对话框中选择Verfication\DebuggingFile\vectorwaveformfile,出现波形编辑窗口,将文件保存为time.vwf

(2)设置仿真器

鼠标右键单击项目名选择Setting或者直接选择菜单命令Assignments\setting…,在settings对话框的Category栏目下选择SimulatorSettings,在右侧出现的对话框中设置仿真器,选择Functional

(7)插入仿真节点

在波形编辑窗口的name栏目下,单击鼠标右键,选择Insert\Insertnodeorbus…,出现Insertnodeorbus的对话框,查找节点信息,插入节点

选择合理的筛选范围,单击list,列出所选节点信号,接着在nodesfound栏目下选择波形仿真需要观察的节点信号,然后单击送入选中按钮,在selectednodes栏目下,列出选中节点,全部节点选择完成后,按ok确认

5、编辑输入波形

选择菜单Edit\EndTime…,根据需要修改最大仿真时间

选择菜单Edit\Gridsize…,根据需要修改网格大小

6、运行仿真器

选择菜单Projecting\startsimulation或者单击工具栏中的仿真快捷键按钮运行仿真程序

仿真得到的图形如下

 

实验六七段数码显示译码器设计

1实验目的

(1)掌握使用并行下载程序

(2)掌握数码显示的原理

(3)掌握FPGA开发板的基本结构

2、实验内容

(1)设计译码器的VHDL程序(由计数器得到译码器的输入值)

(2)将VHDL程序下载到FPGA芯片中

(3)连接连线,观察数码显示的结果(共阴数码管)

3、实验要求

(1)熟悉VHDL程序下载

(2)设计一个能显示1-9数字的程序,用数码管显示数字

(3)把自己认为好的实验结果写成实验报告。

(要计成绩)

 

实验步骤

在Quartusll管理器窗口中选择菜单file\newprojectwizard…,出现新建项目向导newprojectwizard对话框的第一页,在对话框中输入项目路径,项目名称和顶层实体文件名SMG新建项目向导第二页,单击按钮”…”,可浏览文件选项,添加或删除与该项目有关的文件,然后next

新建项目向导第三页,根据器件的封装形式,引脚数量和速度级别选择目标器件,选择cyclone,即系列中的EPLC6Q24C8芯片,然后单击next

新建项目向导第四页,添

展开阅读全文
相关资源
猜你喜欢
相关搜索
资源标签

当前位置:首页 > 总结汇报 > 学习总结

copyright@ 2008-2023 冰点文库 网站版权所有

经营许可证编号:鄂ICP备19020893号-2