EDA课程设计 出租车计价系统.docx

上传人:b****3 文档编号:10965661 上传时间:2023-05-28 格式:DOCX 页数:13 大小:888.40KB
下载 相关 举报
EDA课程设计 出租车计价系统.docx_第1页
第1页 / 共13页
EDA课程设计 出租车计价系统.docx_第2页
第2页 / 共13页
EDA课程设计 出租车计价系统.docx_第3页
第3页 / 共13页
EDA课程设计 出租车计价系统.docx_第4页
第4页 / 共13页
EDA课程设计 出租车计价系统.docx_第5页
第5页 / 共13页
EDA课程设计 出租车计价系统.docx_第6页
第6页 / 共13页
EDA课程设计 出租车计价系统.docx_第7页
第7页 / 共13页
EDA课程设计 出租车计价系统.docx_第8页
第8页 / 共13页
EDA课程设计 出租车计价系统.docx_第9页
第9页 / 共13页
EDA课程设计 出租车计价系统.docx_第10页
第10页 / 共13页
EDA课程设计 出租车计价系统.docx_第11页
第11页 / 共13页
EDA课程设计 出租车计价系统.docx_第12页
第12页 / 共13页
EDA课程设计 出租车计价系统.docx_第13页
第13页 / 共13页
亲,该文档总共13页,全部预览完了,如果喜欢就下载吧!
下载资源
资源描述

EDA课程设计 出租车计价系统.docx

《EDA课程设计 出租车计价系统.docx》由会员分享,可在线阅读,更多相关《EDA课程设计 出租车计价系统.docx(13页珍藏版)》请在冰点文库上搜索。

EDA课程设计 出租车计价系统.docx

EDA课程设计出租车计价系统

EDA课程设计出租车计价系统

 

 

————————————————————————————————作者:

————————————————————————————————日期:

 

1。

设计思路分析

 

1.实验要求

设计一个出租车计价器,要求显示里程和金额.起步和到达停车时要求有声音提示;行程小于基本里程时,显示起步价,基本里程、起步价要求可通过按键自己设定;行程大于基本里程时,每多行一公里,在起步价上加X元,X可由按键设定;当出租车等待时,由司机按下等候键,每等待一分钟加Y元,Y可由按键设定;用脉冲信号模拟轮胎的转数,设每计一个脉冲汽车前进100米,系统中所需脉冲均由实验箱的50MHz晶振分频提供.

2.根据要求确定模块及其分析

1.按键消抖模块:

分析:

由于外部按钮在动作时必然产生抖动,若不消除则容易导致多次检测到边沿而产生错误判断。

功能:

此模块用于消除产生的抖动波形。

2.50MHz分频模块:

分析:

要求100m产生的脉冲,并且需要等待计价和声音提示,所以有必要对50MHz进行分频成分钟、秒钟和发声频率.将系统所有需要用到的频率,由此模块产生,使程序模块化分工明确。

功能:

此模块模拟出车行驶100m产生的脉冲,并完成每1Km产生一个脉冲输出;产生1s、1min、蜂鸣器鸣叫所需的频率方波。

3.蜂鸣器控制模块:

分析:

题目要求只有在起步和到达停车时要求有声音提示,所以需要检测起步和停止这两个状态,然后决定是否发声。

功能:

完成检测当前状态并决定是否驱动蜂鸣器。

4.设置数据存储器模块:

分析:

对起步价、基本里程、每公里价格及等待价格都是可用户设定的,所以通过按键对这些数据进行设定,为了方便操作,需对输入按键的读取采用模式选择形式.为了对其他模块的兼容性,采用当前模式状态输出。

功能:

设置并寄存起步价、基本里程、每公里价格及等待价格.

5.停止复位模块:

分析:

由于停止是在系统任何情况下都能进行的,所以建立单独的模块对复位进行动作,输出复位时钟。

功能:

检测是否复位系统本次运行。

6.数据检测模块:

分析:

要对行驶路程及价格进行计数处理,所以需要设计一个模块读取外部寄存器的相关数据,结合外部脉冲,处理这些数据,从而得出所需的数据。

功能:

对获取模拟脉冲、时间及设置信息并进行处理,决定输出内容,如价格,行驶里程,或设置状态下的起步价、基本里程等.

7.数据显示处理模块:

分析:

由于数码管显示代码与实际数据不同,所以需要进行转换,对于显示排列及显示滚动均由一个模块完成,使得显示情况与外部数据状态无关,即外部模块只需给值,无需决定其因如何显示。

简化其他数据处理模块的程序。

功能:

将获得的数据转换为数码管显示的代码,并完成移动显示功能。

8.数码管显示模块:

分析:

读取7段代码,进行八位数码管扫描显示。

功能:

显示获得的数据代码.

2.

系统结构设计及分析

1.系统系统的总体模块图:

2。

系统引脚分配图:

3。

系统各功能模块的实现:

1。

按键消抖模块:

a。

模块图

带自保持消抖按钮模块

不带带自保持消抖按钮模块

b。

仿真波形

c.实现思想:

采用抽样比较的方式进行消抖,即连续对输入抽样,比较抽样十次数据是否相等,相等则使得输出为十次相等的值,否则继续抽样。

实际中抽样频率保持在1KHz。

2。

50MHz分频模块

a.模块图

 

b.仿真波形

 

3。

蜂鸣器控制模块:

a.模块图

b。

仿真波形

c.实现思想:

当输入开始按钮按下并为启动状态,或结束按钮按下且为结束状态,蜂鸣器输出频率方波驱动蜂鸣器。

 

4.设置数据存储器模块:

a。

模块图

b.仿真波形

c。

实现程序:

libraryieee;

useieee.std_logic_1164。

all;

useieee。

std_logic_unsigned。

all;

entitysetis

port(upBut,dnBut,setKey,cmod1,cmod2:

instd_logic;

bs,sp,xp,yp:

outstd_logic_vector(3downto0);

dismod:

outstd_logic

);

end;

architectureoneofsetis

signalmodc:

std_logic_vector(1downto0);

signalbst,spt,xpt,ypt:

std_logic_vector(3downto0);

signalcKey:

std_logic;

begin

modc<=cmod2&cmod1;

bs<=bst;

sp〈=spt;

xp<=xpt;

yp<=ypt;

cKey<=upButxordnBut;

process(modc)

begin

ifsetKey='1’then

casemodcis

when"00"=〉dismod<=’1';

when”01”=〉dismod〈=’1’;

when"10”=>dismod<=’0’;

when"11”=>dismod<='0’;

whenothers=>null;

endcase;

endif;

endprocess;

process(cKey)

begin

ifcKey'eventandcKey=’1’then

ifsetKey='1'then

casemodcis

when"00"=>ifupBut='1'thenbst<=bst+1;elsebst<=bst—1;endif;

when”01”=〉ifupBut=’1'thenspt〈=spt+1;elsespt<=spt-1;endif;

when"10"=〉ifupBut=’1'thenxpt〈=xpt+1;elsexpt〈=xpt—1;endif;

when"11"=〉ifupBut=’1’thenypt<=ypt+1;elseypt〈=ypt—1;endif;

whenothers=>null;

endcase;

endif;

endif;

endprocess;

end;

5。

停止复位模块:

a。

模块图

b.仿真波形

c。

实现思想:

由于在数据检测模块中对1km或1min产生的脉冲检测间隔过长,进程无法瞬间检测结束脉冲而复位数据,所以此模块采用与检测脉冲异或的方式,将复位电平转化为复位频率方波,从而解决无法及时复位或程序复杂化的缺点.

6.数据检测模块:

a。

模块图

b.仿真波形

c。

说明:

根据mod1和mod2决定当前模块工作状态,从而从不同数据口读读取数据并进行处理,同时输出相应的数据。

7.数据显示处理模块:

a.模块图

b。

仿真波形

c.说明:

mven为滚动显示时能端,outV即为经value1与value2转换后的数码管显示代码,即每个数码管的各段对应一位数据线,8为数码管对应56位。

 

a。

模块图

b.仿真波形

c。

实现方法:

采用动态扫描的方式实现。

3。

系统使用说明

 

总结:

通过本次两个星期的EDA课程设计,加深了我对VHDL的理解,并能够熟悉运用QuartusII开发环境.并且在不断的实物实践中,让我学会了怎样去发现问题和解决问题的方法,对实物操作能力也有了进一步的提升。

本次课程设计仍使我深刻的认识到软件与硬件的不可分割性,单凭软件是很难完成一个完整的作品.虽然时间虽短,但在有一学期对EDA学习的前提下,完成了自己的课题,对自己所学程度也有了一个基本的了解。

 

参考文献:

EDA技术实用教程(第三版)潘松、黄继业编著科学出版社

展开阅读全文
相关资源
猜你喜欢
相关搜索
资源标签

当前位置:首页 > 求职职场 > 简历

copyright@ 2008-2023 冰点文库 网站版权所有

经营许可证编号:鄂ICP备19020893号-2