VGA接口课程.docx

上传人:b****4 文档编号:11344853 上传时间:2023-05-31 格式:DOCX 页数:25 大小:447.69KB
下载 相关 举报
VGA接口课程.docx_第1页
第1页 / 共25页
VGA接口课程.docx_第2页
第2页 / 共25页
VGA接口课程.docx_第3页
第3页 / 共25页
VGA接口课程.docx_第4页
第4页 / 共25页
VGA接口课程.docx_第5页
第5页 / 共25页
VGA接口课程.docx_第6页
第6页 / 共25页
VGA接口课程.docx_第7页
第7页 / 共25页
VGA接口课程.docx_第8页
第8页 / 共25页
VGA接口课程.docx_第9页
第9页 / 共25页
VGA接口课程.docx_第10页
第10页 / 共25页
VGA接口课程.docx_第11页
第11页 / 共25页
VGA接口课程.docx_第12页
第12页 / 共25页
VGA接口课程.docx_第13页
第13页 / 共25页
VGA接口课程.docx_第14页
第14页 / 共25页
VGA接口课程.docx_第15页
第15页 / 共25页
VGA接口课程.docx_第16页
第16页 / 共25页
VGA接口课程.docx_第17页
第17页 / 共25页
VGA接口课程.docx_第18页
第18页 / 共25页
VGA接口课程.docx_第19页
第19页 / 共25页
VGA接口课程.docx_第20页
第20页 / 共25页
亲,该文档总共25页,到这儿已超出免费预览范围,如果喜欢就下载吧!
下载资源
资源描述

VGA接口课程.docx

《VGA接口课程.docx》由会员分享,可在线阅读,更多相关《VGA接口课程.docx(25页珍藏版)》请在冰点文库上搜索。

VGA接口课程.docx

VGA接口课程

四川师范大学成都学院

电路与电子技术课程设计

 

VGA显示接口设计

学生姓名

秦谌磊

学号

2012101315

所在学院

通信工程学院

专业名称

通信工程

班级

2012级移动通信2班

指导教师

胡迎刚

成绩

四川师范大学成都学院

二○一四年十二月

 

课程设计任务书

学生姓名

秦谌磊

学生学号

2012101315

学生专业

通信工程

学生班级

2012级移动通信2班

指导教师

胡迎刚

职称

讲师

发题日期

2014年10月20日

完成日期

2014年12月20日

设计题目

VGA显示接口设计

设计目的:

熟练掌握VHDL描述VGA时序设计方法;了解VGA工作原理及控制方法。

具体任务及要求:

在FPGA上完成一个简单的VGA显卡驱动控制电路,通过核心板上两个独立按键选择实现横彩条、竖彩条棋格图案。

扩展任务是完成文字、图像的VGA显示。

课程设计进度安排:

序号

内容安排

时间

1

学习并熟练掌握QuartusII软件,完成课程设计任务书

10月28日-11月5日

2

搜集并掌握与VGA接口设计相关案例,为自己课程设计做好规划

11月6日-11月10日

3

进行需求分析,将主体的设计分解为多个模块

11月11日-11月14日

4

使用QuartusII软件,逐步实现各模块功能,最终实现VGA接口的设计

11月15日-11月21日

5

完成课程设计报告提交给导师,认真准备接下来的答辩

11月22日-12月19日

课程设计参考文献:

[1]胡迎刚,鲁顺昌.EDA技术与SOPC基础[M].成都:

西南交通大学出版社,2013.7,P1-113

[2]王亮,李正,宁婷婷等.VGA汉字显示的FPGA设计与实现[J].北京:

北京教育出版社,2009,30

(2),P275-281

[3]杨杰,穆伟斌.基于FPGA的VGA控制器设计与实现[J].内蒙古:

内蒙古出版社,2008,24(6),P50-52

指导教

师签字

院长审核签字

VGA显示接口设计

内容摘要:

本文利用现场可编程逻辑器件FPGA产生VGA时序信号和彩条图像信号,并将其作为信号源,应用于彩色等离子显示器的电路开发,方便彩色等离子显示器驱动控制电路的调试。

FPGA芯片具有可靠性高、编程灵活、体积小等优点,实验经过软硬件调试,最终在显示器上显示彩条正确图像。

利用此原理,可以设计更多的彩色图像,且可将采集的图像进行实时显示,将此作为信号源,应用于显示器电路的开发或某些嵌入式系统中,进行视频设备的调试与性能分析或系统中信号处理模块的调试与性能分析等。

关键词:

FPGAVGA显示接口

VGAInterfaceofdisplayofdesign

Abstract:

VGA-timingsignalsandcolorstripimagewereobtainedbyusingFPGA.Thesignalswereusedassourceswhendevelopingthecircuitsofcolorplasmadisplaypanel,andittookgreatconveniencetothedebuggingofthedrivingandcontrollingcircuitofcolorplasmadisplaypanel.TheFPGAchiphastheadvantagesofhighreliability,smallvolume,flexibleprogramming,justbecauseofthis,thetestcouldachievethedesiredresults,displayscreendisplayscolorbarsignals.Accordingtothisprinciple,wecandesigncolorimagemore,andmaketheimagereal-timedisplay,suchasthesignalsource,usedindisplaycircuitdevelopmentorsomeembeddedsystems,videoequipmentdebuggingandperformanceanalysisofthesystemsignalprocessingmoduledebuggingandperformanceanalysis.

Keywords:

FPGAVGAInterfaceofdisplay

目录

前言1

1VGA接口概述1

1.1VGA接口1

1.2VGA显示2

1.3VGA信号时序3

1.4VGA图形编码4

2EDA概述5

2.1EDA技术及其发展5

2.2EDA技术开发流程6

2.3QuartusII软件简介6

2.4硬件描述语言VHDL7

2.5现场可编程逻辑门阵列FPGA7

3设计方案8

3.1总体设计框架图8

3.2主模块设计9

3.2.1分频模块9

3.2.2VGA彩条信号产生模块9

4设计实现10

4.1新建工程项目10

4.2选择芯片10

4.3使用硬件描述语言编程11

4.4锁定引脚11

4.5下载11

5仿真结果12

5.1仿真波形12

5.2下载调试12

6总结13

附录14

参考文献17

VGA显示接口设计

前言

VGA(VideoGraphicsArray)是IBM在1987年随PS/2机一起推出的一种视频传输标准,具有分辨率高、显示速率快、颜色丰富等优点,在彩色显示器领域得到了广泛的应用。

目前VGA技术的应用还主要基于VGA显示卡的计算机、笔记本等设备,而在一些既要求显示彩色高分辨率图像又没有必要使用计算机的设备上,VGA技术的应用却很少见到。

利用现场可编程逻辑器件产生VGA时序信号和彩条图像信号,并将其作为信号源,应用于电视机或计算机等彩色显示器的电路开发,方便彩色显示器驱动控制电路的调试。

计算机显示器的显示有许多标准,常见的有VGA,SVGA等。

在这里尝试用FPGA实现VGA图像显示控制器,这一过程通过编程实现,之后通过软件的测试和仿真,当软件验证无误后完成硬件的下载验证,最终在CRT显示器上实现输出,基本原理就是利用FPGA的可编程原理和VGA的时序控制原理,这在产品开发设计中有许多实际应用。

本课程设计对VGA显示的实现方法进行了研究。

基于这种设计方法的嵌入式VGA显示系统,可以在不使用VGA显示卡和计算机的情况下,实现VGA图像的显示和控制。

系统具有成本低、结构简单、应用灵活的优点,可广泛应用于超市、车站、飞机场等公共场所的广告宣传和提示信息显示,也可应用于工厂车间生产过程中的操作信息显示,还能以多媒体形式应用于日常生活。

1VGA接口概述

1.1VGA接口

VGA(VideoGraphicsArray)作为一种标准的显示接口已经得到非常广泛的应用。

VGA在任何时刻都必须工作在某一显示模式下,其显示模式分为字符显示模式和图形显示模式,而在应用中讨论的是图形显示模式。

VGA显示卡端的接口为15针母插座,接口如图1-1所示。

该端口通过标准的监视电缆直接连接到PC监视器或平板LCD上。

图1-1VGA接口

1.2VGA显示

计算机显示器的显示有许多标准,常见的有VGA、SVGA等。

本系统采用FPGA来实现图像显示控制器,这在产品开发设计中有许多实际应用。

常见的彩色显示器一般由阴极射线管(CRT)构成,彩色由GRB(GreenRedBlue)基色组成。

显示采用逐行扫描的方式解决,阴极射线枪发出电子束打在涂有荧光粉的荧光屏上,产生GRB基色,合成一个彩色像素。

扫描从屏幕的左上方开始,从左到右,从上到下,逐行扫描,每扫完一行,电子束回到屏幕的左边下一行的起始位置,在这期间,CRT对电子束进行消隐,每行结束时,用行同步信号进行行同步。

扫描完所有行,用场同步信号进行场同步,并使扫描回到屏幕的左上方,同时进行场消隐,并预备进行下一次的扫描。

VGA显示图如图1-2所示。

在本设计中,FPGA通过串联电阻直接驱动5个VGA信号。

每个颜色信号串一个电阻,每位的颜色信号分别是VGA_RED,VGA_GREEN,VGA_BLUE。

每个电阻与终端的75欧电缆电阻相结合,确保颜色信号保持在VGA规定的0V~0.7V之间。

VGA_HSYNC和VGA_VSYNC信号使用LVTTL或LVCMOS3I/O标驱动电平。

通过VGA_RED,VGA_GREEN,VGA_BLUE置高或低来产生8种颜色。

实际应用中,VGA_RED,VGA_GREEN和VGA_BLUE可以接到DAC的输出,每个DAC用8bit或更多的比特控制,3条色彩控制线根据DAC输出的电压大小配合实现更多种颜色的显示。

VGA显示的原理是利用水平扫描信号和竖直信号实现二维平面的像素扫描显示,以640×480像素的扫描显示为例,当水平扫描信号VGA_HSYNC信号为高时,VGA显示器从左向右依次扫描当前行的640个像素点。

每扫描完一行,VGA_HSYNC信号电平拉低,水平扫描位置回到最左端。

图1-2VGA显示基本图

1.3VGA信号时序

普通的VGA显示器,其引出线共含5个信号:

G,R,B三基色信号;HS:

行同步信号;VS:

场同步信号。

对于5个信号的时序驱动,以及VGA显示器,要严格遵循“VGA工业标准”,即640*480*60Hz模式。

通常我们用的显示器都满足工业标准,因此设计VGA控制器是要参考显示器的技术规格。

图1-3为VGA行扫描、场扫描的时序图。

行扫描时序要求(单位:

像素,即输出1个像素Pixel的时间间隔):

Ta(行同步头):

96Tb:

40Tc:

8Td(行图像):

640Te:

8Tf:

8

Tg:

(行周期):

800

场扫描时序要求(单位:

行,即输出1行Line的时间间隔):

Ta(场同步头):

2Tb:

25Tc:

8Td(场图像):

480Te:

8Tf:

2

Tg:

(场周期):

525

图1-3VGA行扫描、场扫描时序图

VGA行扫描、列扫描的时序时间如表1-1、1-2所示。

表1-1行扫描时序时间(单位:

像素,即输出一个像素Pixel的时间间隔)

行同步头

行图像

行周期

对应位置

Tf

Ta

Tb

Tc

Td

Te

Tg

时间Pixels

8

96

40

8

640

8

800

表1-2场扫描时序时间(单位:

行,即输出一行Line的时间间隔)

场同步头

场图像

场周期

对应位置

Tf

Ta

Tb

Tc

Td

Te

Tg

时间Pixels

2

2

25

8

480

8

525

其中,VGA工业标准所要求的频率:

时钟频率(Clockfrequency):

25.175MHz(像素输出的频率);

行频(Linefrequency):

31469Hz;

场频(Fieldfrequency):

59.94Hz(每秒图像刷新频率)。

1.4VGA图形编码

VGA图形编码如表1-3所示,这里例举了3种图形:

行彩条、竖彩条、横盘格。

而每种图形都用到了光的三原色:

绿、红、蓝,它们的编码如表1-4所示。

表1-3VGA图形编码

1

行彩条

1:

白黄青绿品红蓝黑

2:

黑蓝红品绿青黄白

2

竖彩条

1:

白黄青绿品红蓝黑

2:

黑蓝红品绿青黄白

3

棋盘格

1:

棋盘格显示模式1

2:

棋盘格显示模式2

表1-4VGA颜色编码

颜色

绿

G

0

0

0

0

1

1

1

1

R

0

0

1

1

0

0

1

1

B

0

1

0

1

0

1

0

1

在设计完彩条信号发生器的基础上很容易完成汉字/图像的设计。

由于本设计是对视频数据进行处理,用普通的设计方法(不使用专用芯片),在单芯片上实现是不可思议的,而在此用FPGA设计,轻松地达到了面积和速度上的要求。

2EDA概述

EDA即电子设计自动化,是ElectronicDesignAutomation的英文缩写。

EDA技术是在电子CAD技术基础上发展起来的计算机软件系统,是指以计算机为工作平台,融合了应用电子技术、计算机技术、信息处理及智能化技术的最新成果,进行电子产品的自动设计。

具体讲就是:

以大规模可编程逻辑器件为设计载体以硬件描述语言HDL(HardwareDescriptionLanguage)为系统逻辑描述的主要表达方式以计算机、大规模可编程逻辑器件的开发软件及实验开发系统为设计工具自动完成用软件的方式设计的电子系统到硬件系统的逻辑编译、逻辑化简、逻辑分割、逻辑综合、优化、逻辑布局布线、逻辑仿真直至完成对于特定目标芯片适配编译、逻辑映射、编程下载等工作最终形成集成电子系统或专用集成芯片。

2.1EDA技术及其发展

EDA(ElectronicDesignAutomation)技术是现代电子设计技术的核心。

它以EDA软件工具为开发环境,采用硬件描述语言(HardwareDescriptionLanguage,HDL),采用可编程器件为实验载体,实现源代码编程、自动逻辑编译、逻辑简化、逻辑分割、逻辑综合、布局布线、逻辑优化和仿真等功能,以ASIC、SOC芯片为目标器件,以电子系统设计为应用方向的电子产品自动化的设计技术。

EDA技术在进入21世纪后,得到了更大的发展,突出表现在以下几个方面:

◆使电子设计成果以自主知识产权的方式得以明确表达和确认成为可能;

◆在仿真和设计两方面支持标准硬件描述语言的功能强大的EDA软件不断推出;

◆电子技术全方位纳入EDA领域;

◆EDA使得电子领域各学科的界限更加模糊,更加互为包容;

◆更大规模的FPGA和CPLD器件的不断推出;

◆基于EDA工具的ASIC设计标准单元已涵盖大规模电子系统及IP核模块;

◆软硬件IP核在电子行业的产业领域、技术领域和设计应用领域得到进一步确认;

◆SOC高效低成本设计技术的成熟。

2.2EDA技术开发流程

◆设计输入:

硬件描述语言文本输入这种方式与传统的计算机软件语言编辑输入基本一致,就是将使用了某种硬件描述语言(HDL)的电路设计文本,如VHDL或Verilog的源程序,进行编辑输入。

◆仿真:

让计算机根据一定的算法和一定的仿真库对EDA设计进行模拟,以验证设计排除错误。

分为功能仿真和时序仿真两种不同级别的仿真测试

◆综合:

综合器不是机械的一对一翻译根据设计库、工艺库以及预先设置的各类约束条件选择最优的方式完成电路结构的形成。

是选择一种能充分满足各项约束条件且最低成本的实现方案。

◆适配:

适配器也称结构综合器,功能是将综合产生的网表文件配置于指定的目标器件中,使之产生最终的下载文件,如JEDEC、Jam格式的文件。

◆下载和硬件测试:

把适配器生成的下载或配置文件,通过编程器或编程电缆向FPGA或CPLD进行下载,以便进行硬件调试和验证。

2.3QuartusII软件简介

QuartusII是Alera公司推出的一款功能强大,兼容性最好的EDA工具软件。

该软件界面友好、使用便捷、功能强大,是一个完全集成化的可编程逻辑设计环境,具有开放性、与结构无关、多平台完全集成化丰富的设计库、模块化工具、支持多种硬件描述语言及有多种高级编程语言接口等特点。

QuartusII是Altera公司推出的CPLD/FPGA开发工具,QuartusII提供了完全集成且与电路结构无关的开发包环境,具有数字逻辑设计的全部特性,包括:

可利用原理图、结构框图、VerilogHDL、AHDL和VHDL完成电路描述,并将其保存为设计实体文件;芯片平面布局连线编辑;功能强大的逻辑综合工具;完备的电路功能仿真与时序逻辑仿真工具;定时/时序分析与关键路径延时分析;可使用SignalTapII逻辑分析工具进行嵌入式的逻辑分析;支持软件源文件的添加和创建,并将它们链接起来生成编程文件;使用组合编译方式可一次完成整体设计流程;自动定位编译错误;高效的期间编程与验证工具;可读入标准的EDIF网表文件、VHDL网表文件和Verilog网表文件;能生成第三方EDA软件使用的VHDL网表文件和Verilog网表文件。

2.4硬件描述语言VHDL

超高速集成电路硬件描述语言(Very-High-SpeedIntegratedCircuitHardwareDescriptionLanguage,VHDL)于1983年有美国国防部(DOD)发起创建,由IEEE进一步发展并在1987年作为“IEEE标准1076”发布。

从此,VHDL成为硬件描述语言的业界标准之一。

VHDL主要用于描述数字系统的结构,行为,功能和接口。

除了含有许多具有硬件特征的语句外,VHDL的语言形式和描述风格与句法是十分类似于一般的计算机高级语言。

VHDL的程序结构特点是将一项工程设计,或称设计实体(可以是一个元件,一个电路模块或一个系统)分成外部(或称可视部分,及端口)和内部(或称不可视部分),既涉及实体的内部功能和算法完成部分。

在对一个设计实体定义了外部界面后,一旦其内部开发完成后,其他的设计就可以直接调用这个实体。

这种将设计实体分成内外部分的概念是VHDL系统设计的基本点。

VHDL的主要优点如下:

◆覆盖面广,有强大的系统硬件描述能力;

◆可读性好、易于修改;

◆独立于器件的设计,与工艺无关;

◆易于移植和设计资源共享。

2.5现场可编程逻辑门阵列FPGA

FPGA(现场可编程门阵列)与CPLD(复杂可编程逻辑器件)都是可编程逻辑器件,它们是在PAL、GAL等逻辑器件的基础之上发展起来的。

但FPGA/CPLD的规模较大,非常适合于对时序、组合等逻辑电路应用场合,它可以替代几十甚至上百块通用IC芯片。

高速和高可靠是FPGA最明显的特点,当今的该类可编程器件,其最高工作频率可达百兆级,其时钟延迟可达纳秒级,结合其并行工作方式,在超高速应用领域和实时测控方面有非常广阔的应用前景。

FPGA的设计是基于查找表来实现的。

查找表就是实现将输入信号的各种组合功能以一定的次序写入RAM中,然后在输入信号的作用下,输出特定的函数运算结果。

其结构图如图2-1所示。

图2-1查表结构图

●一个N输入查找表(LUT,LookUpTable)可以实现N个输入变量的任何逻辑功能,如N输入“与”、N输入“异或”等。

●输入多于N个的函数、方程必须分开用几个查找表(LUT)实现(如图2-2所示)。

图2-2FPGA查找表单元内部结构

3设计方案

设计VGA图像显示控制需要注意两个问题:

一个是时序的驱动,这是完成设计的关键,时序稍有偏差,显示必然不正常,甚至会损坏彩色显示器;另一个是VGA信号的电平驱动。

3.1总体设计框架图

本设计以FPGA芯片作为中央控制器控制整个系统的处理,根据自顶向下的设计流程,按照层次化、结构化的设计方法可以将该系统划分为以下几个模块:

顶层模块、分频模块、VGA控制模块。

其总体设计框架图如图3-1所示。

 

图3-1总体设计框架图

系统工作原理为系统加电FPGA芯片读入配置信息,配置完成后,FPGA进入工作状态,将要显示的信息初始化到单口RAM中,由系统时钟产生时序,程序根据时序信息控制VGA接口输出行、场同步及颜色信息到显示器上。

3.2主模块设计

系统主要有分频模块以及VGA彩条信号产生模块构成。

下面分模块介绍设计方法。

3.2.1分频模块

VGA工业标准所要求的频率为25.175Hz,而FPGA芯片输出的频率为50MHz,因而必须对FPGA输出频率进行分频,采用二分频即可,利用锁相环的分频功能得到。

3.2.2VGA彩条信号产生模块

VGA时序信号产生包括行点计数器x_cnt(计数个数用nx表示)、场点计数器y_cnt(计数个数用ny表示)、行同步信号hsync、场同步信号vsync、有效显示区Visiblearea等。

其中行点计数器是800进制计数器,场点计数器是525进制计数器。

根据VGA时序的工业标准行、场同步信号有4种状态:

同步脉冲信号(Sync),显示后沿信号(BackPorch),可视显示区(Visiblearea),显示前沿(FrontPorch)。

这4种状态具有很清晰的时序规律,可以用有限状态机来实现这4种状态的转换,用h_state来表示行同步状态机的4种状态:

h_sync,h_back,h_visible,h_fron;tv_state来表示场同步状态机的4种状态:

v_sync,v_back,v_visible,v_front。

行、场计数器的值决定了状态机在何时进行状态翻转。

竖彩条发生模块根据行点数器hcnt的计数值来产生彩条,它对行点数计数器的数值进行判断,每80条竖线生成一种竖彩条,共8种竖彩条红、绿、黄、蓝、粉、青、灰和黑。

4设计实现

4.1新建工程项目

在E盘建立项目工程文件夹VGA,如E:

\VGA,打开Quartus软件,执行【File】→【NewProjectWizard…】选项,按如图4-1所示设置。

图4-1新建工程项目

4.2选择芯片

该系统设计中,FPGA芯片用的是ALTERA公司的EP1C12Q240C8,它由若干个逻辑单元和中央布线池加I/O端口构成。

如图4-2所示。

图4-2选择芯片

4.3使用硬件描述语言编程

采用VHDL语言设计文件,首先定义两个计数器hcnt和vcant,按照实验原理中的HS和VS的实现方法,产生得到HS和VS两个时序序号。

其次根据hcnt和vcnt计数值的范围来确定显示区域,并同时送入显示颜色即可(程序代码见附录1)。

然后,编译工程,修改语法错误。

4.4锁定引脚

按照表4-1所示锁定管脚,再编译工程。

表4-1管脚锁定表

端口名

FPGA管脚号

端口名

FPGA管脚号

Clk

PIN_153

vga_r

PIN_128

vga_vs

PIN_136

vga_g

PIN_127

vga_hs

PIN_137

vga_b

PIN_126

4.5下载

首先将开发板上的VGA接口用VGA线和CRT显示器连接好,然后再通过USB_Blaster下载程序,就可看到彩条显示。

5仿真结果

5.1仿真波形

图5-1仿真波形图

5.2下载调试

通过USB_Blaster下载程序,可以看到彩条显示如下:

图5-2竖彩条图5-3横彩条

图5-4棋盘格

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 自然科学 > 物理

copyright@ 2008-2023 冰点文库 网站版权所有

经营许可证编号:鄂ICP备19020893号-2