VHDL正弦信号发生器设计.docx

上传人:b****2 文档编号:11779203 上传时间:2023-06-02 格式:DOCX 页数:9 大小:544.40KB
下载 相关 举报
VHDL正弦信号发生器设计.docx_第1页
第1页 / 共9页
VHDL正弦信号发生器设计.docx_第2页
第2页 / 共9页
VHDL正弦信号发生器设计.docx_第3页
第3页 / 共9页
VHDL正弦信号发生器设计.docx_第4页
第4页 / 共9页
VHDL正弦信号发生器设计.docx_第5页
第5页 / 共9页
VHDL正弦信号发生器设计.docx_第6页
第6页 / 共9页
VHDL正弦信号发生器设计.docx_第7页
第7页 / 共9页
VHDL正弦信号发生器设计.docx_第8页
第8页 / 共9页
VHDL正弦信号发生器设计.docx_第9页
第9页 / 共9页
亲,该文档总共9页,全部预览完了,如果喜欢就下载吧!
下载资源
资源描述

VHDL正弦信号发生器设计.docx

《VHDL正弦信号发生器设计.docx》由会员分享,可在线阅读,更多相关《VHDL正弦信号发生器设计.docx(9页珍藏版)》请在冰点文库上搜索。

VHDL正弦信号发生器设计.docx

VHDL正弦信号发生器设计

VHDL正弦信号发生器设计

参考文献

 

第一章绪论

1.1课题的研究背景与意义

信号发生器作为一种常用的信号源,在现代通信领域和测量领域得到广泛的应用。

本文设计了基本设计方案,在现有单一信号发生器的基础上,加上其它信号模块,通过组合与设计,用数模转换器(D/A)将选中的信号源发出的信号由数字信号转换为模拟信号,再用示波器显示出来,其信号发生器的结构框图如图1-1所示。

图1-1信号发生器结构框图

1.2课题的国内外研究现状

信号发生器从上世纪20年代诞生发展到如今先后经历了模拟式信号发生器-数字式信号发生器-虚拟信号发生器三个发展阶段。

从40年到60年代期间,信号发生器主要采用以电子管工艺为基础的模拟电路构成;到了60年代中期,随着晶体管工艺的出现、大规模和超大规模集成电路的应用,使信号发生器得到了一定的发展,其信号的输出精度得到一定提高。

到了70年代微处理器出现以后,使得信号发生器的产生方法发生了改变;这个时期的信号发生器多以软件为主,实质是采用微处理器对DAC的程序控制,就可以得到各种简单的波形。

 

1.3本文主要研究内容

在本次的设计中是按模块来实现的,设计总共分为三大步骤完成:

(1)产生正弦波波形信号;

(2)频率的控制;

(3)显示频率值。

利用VHDL编程,依据基本数字电路模块原理进行整合。

系统各部分所需工作时钟信号由输入系统时钟信号经分频得到,系统时钟输入端应满足输入脉冲信号的要求。

频率控制模块可以实现频率的连续可调,最终送至脉冲发生模块输出脉冲信号,同时将信号的频率输出至数码管显示当前信号的频率值,达到设计所要求的输出波形频率可调功能。

如图1-2所示:

 

 

图1-2

 

第二章主要工具及其应用

EDA

电子设计技术的核心就是EDA技术,EDA是指以计算机为工作平台,融合应用电子技术、计算机技术、智能化技术最新成果而研制成的电子CAD通用软件包,主要能辅助进行三方面的设计工作,即IC设计、电子电路设计和PCB设计。

VHDL

VHDL是一种全方位的硬件描述语言,包括系统行为级、寄存器传输级和逻辑门级多个设计层次,支持结构、数据流和行为三种描述形式的混合描述,因此VHDL几乎覆盖了以往各种硬件描述语言的功能,整个自顶向下或自底向上的电路设计过程都可以用VHDL来完成。

DAC0832

DAC0832是8分辨率的D/A转换集成芯片。

与微处理器完全兼容。

这个DA芯片以其价格低廉、接口简单、转换控制容易等优点,在单片机应用系统中得到广泛的应用。

D/A转换器由8位输入锁存器、8位DAC寄存器、8位D/A转换电路及转换控制电路构成。

 

 

第三章系统设计与实现

3.1倍频器模块

由于要求简易正弦波信号发生器输出频率达到1MHZ,所以实验箱上的20MHZ的输出过低,无法达到要求。

此时可以采用一个倍频器将20MHZ的频率输出加倍成为128MHZ的频率输出。

具体通过QuartusII9.0软件所做的模块原理图如图3-1所示:

图3-1倍频器模块原理图

3.2主程序模块

主程序用来实现系统的主要功能,包括波形定义分频、频段选择、频率调节以及频率输出等。

主程序见附录1。

主程序原理图如图3-2所示:

图3-2主程序模块原理图

其中clk信号为20MHZ的信号经过加倍后的128MHZ的频率。

Key1、key2为频率调节按钮,key1接按键,当按动key1频率值加1或者减1;key2接拨码开关,用来加减选择,当key2=1时为加,当key2=0时为减。

sel_p[1..0]为频段选择信号,当sel_p[1..0]=00、01、10时,其频段分别为0HZ-1KHZ、1KHZ-10KHZ、10KHZ-1MHZ。

 

3.3波形显示模块

波形显示部分使用的是实验箱上的D/A转换器,将主程序模块的dout[7..0]八位二进制输出接D/A转换器的输入,从而转化为模拟信号,将D/A转换器的输出接示波器的输入,通过示波器显示观察。

3.4频率显示模块

频率显示部分使用了一个二进制——十六进制转化显示译码器,将主程序中输出的xianshi[19..0]这20位二进制段码输出转化为十六进制数显示在数码管上。

图3-4频率显示模块原理图

 

第四章软件仿真

们将程序中的test值改为1,这样可以得到完整的仿真图,而且不改变程序原理。

在实验时将,任意所取的频段如下所示,其中第一频段的初值设置为300HZ,第二频段的初值设置为3000HZ,第三频段的初值设置为30000HZ。

图4-1第一频段下的正弦波仿真图

图4-2第二频段下的正弦波仿真图

图4-3第三频段下的正弦波仿真图

 

第五章硬件测试

5.1编译

(1)选择目标器件

Assign---Device---DeviceFamily---MAX7000S---EPM7128SLC84-6---OK

图5-1器件选择窗口

(2)启动编译器

Max+PlusII---Compiler---Start

将以上模块分别编译通过,并将它们全部保存到自己所建的工程文件夹:

axc_xh中。

5.2引脚的锁定

确定设计实体输入输出端口与目标芯片引脚的对应关系。

图5-2引脚锁定界面

 

图5-3引脚锁定界面

5.3编程下载

1.用下载电缆把计算机的并口与目标板(实验开发系统),连接好并打开电源。

2.将已经完成好的顶层原理图设一次当前工程,然后将其在Max+plusⅡ--Compiler下编译一次,将引脚锁定图在Max+plusⅡ--FloorplanEditor中打开,再编译一次管脚。

3.Max+plusⅡ--Programmer--Option--HardwareSetup---ByteBlaster(编程方式为)---Configute,双击Enter键,进行硬件验证。

5.4硬件验证

下载完成后,把示波器连接到实验箱上,并在实验箱上选择适当的时钟频率(以示波器上显示的波形清晰为标准)。

然后分别记录下各自频率值在示波器上所对应的波形。

图5-4实验箱上的连线图

展开阅读全文
相关资源
猜你喜欢
相关搜索
资源标签

当前位置:首页 > 解决方案 > 学习计划

copyright@ 2008-2023 冰点文库 网站版权所有

经营许可证编号:鄂ICP备19020893号-2