基于FPGA与单片机通讯的LCD显示模块设计毕业设计论文Word格式文档下载.docx

上传人:b****2 文档编号:1179654 上传时间:2023-04-30 格式:DOCX 页数:60 大小:1.48MB
下载 相关 举报
基于FPGA与单片机通讯的LCD显示模块设计毕业设计论文Word格式文档下载.docx_第1页
第1页 / 共60页
基于FPGA与单片机通讯的LCD显示模块设计毕业设计论文Word格式文档下载.docx_第2页
第2页 / 共60页
基于FPGA与单片机通讯的LCD显示模块设计毕业设计论文Word格式文档下载.docx_第3页
第3页 / 共60页
基于FPGA与单片机通讯的LCD显示模块设计毕业设计论文Word格式文档下载.docx_第4页
第4页 / 共60页
基于FPGA与单片机通讯的LCD显示模块设计毕业设计论文Word格式文档下载.docx_第5页
第5页 / 共60页
基于FPGA与单片机通讯的LCD显示模块设计毕业设计论文Word格式文档下载.docx_第6页
第6页 / 共60页
基于FPGA与单片机通讯的LCD显示模块设计毕业设计论文Word格式文档下载.docx_第7页
第7页 / 共60页
基于FPGA与单片机通讯的LCD显示模块设计毕业设计论文Word格式文档下载.docx_第8页
第8页 / 共60页
基于FPGA与单片机通讯的LCD显示模块设计毕业设计论文Word格式文档下载.docx_第9页
第9页 / 共60页
基于FPGA与单片机通讯的LCD显示模块设计毕业设计论文Word格式文档下载.docx_第10页
第10页 / 共60页
基于FPGA与单片机通讯的LCD显示模块设计毕业设计论文Word格式文档下载.docx_第11页
第11页 / 共60页
基于FPGA与单片机通讯的LCD显示模块设计毕业设计论文Word格式文档下载.docx_第12页
第12页 / 共60页
基于FPGA与单片机通讯的LCD显示模块设计毕业设计论文Word格式文档下载.docx_第13页
第13页 / 共60页
基于FPGA与单片机通讯的LCD显示模块设计毕业设计论文Word格式文档下载.docx_第14页
第14页 / 共60页
基于FPGA与单片机通讯的LCD显示模块设计毕业设计论文Word格式文档下载.docx_第15页
第15页 / 共60页
基于FPGA与单片机通讯的LCD显示模块设计毕业设计论文Word格式文档下载.docx_第16页
第16页 / 共60页
基于FPGA与单片机通讯的LCD显示模块设计毕业设计论文Word格式文档下载.docx_第17页
第17页 / 共60页
基于FPGA与单片机通讯的LCD显示模块设计毕业设计论文Word格式文档下载.docx_第18页
第18页 / 共60页
基于FPGA与单片机通讯的LCD显示模块设计毕业设计论文Word格式文档下载.docx_第19页
第19页 / 共60页
基于FPGA与单片机通讯的LCD显示模块设计毕业设计论文Word格式文档下载.docx_第20页
第20页 / 共60页
亲,该文档总共60页,到这儿已超出免费预览范围,如果喜欢就下载吧!
下载资源
资源描述

基于FPGA与单片机通讯的LCD显示模块设计毕业设计论文Word格式文档下载.docx

《基于FPGA与单片机通讯的LCD显示模块设计毕业设计论文Word格式文档下载.docx》由会员分享,可在线阅读,更多相关《基于FPGA与单片机通讯的LCD显示模块设计毕业设计论文Word格式文档下载.docx(60页珍藏版)》请在冰点文库上搜索。

基于FPGA与单片机通讯的LCD显示模块设计毕业设计论文Word格式文档下载.docx

FPGA,LCD,单片机,GDM12864A,GW48-SOPC

Single-chipFPGA-basedcommunicationswiththeLCDdisplaymoduledesign

ABSTRACT

Inthedigitalcircuittechnologyoftoday'

shighlydeveloped,basedontheFPGA,MCUproductseverywhere.LCDmonitorshavebecomethemainstreamofmodernproducts.LCDliquidcrystaldisplayisaman-machineinterfaceofthekeymeanstoachieve.Inthispaper,withthesingle-chipFPGA-basedcommunicationsandsingle-chipLCDdriverliquidcrystaldisplaysystemswerestudied.

Thispaperintroducestheuseofsingle-chipcontrollerdriverforGDM12864Athebasicprinciplesoftheprinciplecharactersoftheshow,aswellastheprincipleofsingle-chipmicrocomputer.51seriesofsingle-chipbasedontheLCDliquidcrystaldisplaymodeoftheparallelinterfacecircuitdesign.Ofthedrivecircuittostudytheapplicationofmethods,butalsoadetaileddescriptionofitsdriver-relatedpartofthedesignstepsandgivetherelevantcode.

Thefocusofthisdesignisasingle-chipLCDdisplaydrivecontrol,receivethesignalfromtheFPGAmade.Inaccordancewiththerequirementsofthelaboratory.FPGAoutputofsomesimpledigitalsignalthroughtheLCDshowsthecorrespondingresults.ThepurposeofthisdesignistheLCDusedintheexperiment.DomorethansimplyshowthatthenumberofChinesecharacters.ItshowsthatwemadethepilotsignalinthedigitalFPGA.

Inthisissue,FPGAtransmissiononlyinthetestisrelativelysimpledigitalsignal.Therefore,inwritingdatabasedriversdonotneedtoomuchinhancku,setupsomenumbers,lettersandanumberofcommonlyusedlogicsymbolcounttomeetourrequirements.IssuesthroughthedesignofthechambertosolveGW48-SOPConLCDhasnotbeenutilized,andinteachingittoplayitsroleinapplications.Letusdosdddotoobservetheresultsofexperimentstofacilitatemoreintuitive.

KEYWORDS:

FPGA,LCD,single-chip,GDM12864A,GW48-SOPC

目 录

前 言

自上世纪70年代第一位4位单片机问世,到如今的8位,16位,32位单片机,其应用已涉足诸多领域,如工业生产,航空航天,生物技术,医疗器械,办公自动化等等。

而液晶显示(LCD)作为单片机应用系统中的信息输出技术,相对于传统的输出手段讲,效率更高,实时性更好,输出信息清晰直观了,日益成为单片机应用系统中信息输出的首选方法。

而且,液晶显示的模块化和成本的降低,更直接导致了液晶显示的大范围应用。

作为智能仪表的信息显示及人机交互的界面,点阵式液晶与外部的硬件接口简单,能够以点阵或图形方式显示出各种信息,并具有低电压、微功耗、信息显示量大以及寿命长等特点,因此广泛应用在各种便携式仪器和系统中。

本文讨论了利用MCU(微处理器)的I/O端口,通过软件设计并行总线的方法,实现了MCU对液晶显示驱动器的串行控制,节省了单片机有限的I/O资源。

LCD控制器的功能是显示驱动信号,进而驱动LCD。

用户只需要通过读写一系列的寄存器,完成配置和显示驱动。

在驱动LCD设计的过程中首要的是配置LCD控制器,而在配置LCD控制器中最重要的一步则是帧缓冲区(FrameBuffer)的指定。

用户所要显示的内容皆是从缓冲区中读出,从而显示到屏幕上的。

在每次上电时,单片机将驱动程序加载到LCD中,此时LCD已做好接受数据显示的准备。

在需要显示FPGA显示的数据时,FPGA直接将数据传递给LCD。

通过LCD显示出我们需要的结果。

单片机也被称为微控制器(Microcontroller),是因为它最早被用在工业控制领域。

单片机由芯片内仅有CPU的专用处理器发展而来。

最早的设计理念是通过将大量外围设备和CPU集成在一个芯片中,使计算机系统更小、更容易集成进复杂的而对体积要求严格的控制设备当中。

INTEL的Z80是最早按照这种思想设计出的处理器。

液晶显示器(LCD)具有体积小、重量轻和功耗低等特点。

但是,要将其应用于军事装备中,需要解决其驱动板的工作温度范围问题,还要将隔行扫描的视频信号转换成与LCD相同分辨率的逐行扫描视频信号。

为此,我们进行了基于单片机的LCD显示驱动的相关技术研究。

FPGA采用了逻辑单元阵列LCA(LogicCellArray)这样一个新概念,内部包括可配置逻辑模块CLB(ConfigurableLogicBlock)、输出输入模块IOB(InputOutputBlock)和内部连线(Interconnect)三个部分,基于单片机与fpga通信可分为串行,并行通信方式,本文详细讲述单片机与fpga并行通行原理。

第1章绪论

 

§

1.1开发背景及意义

随着液晶显示技术的发展,LCD(液晶显示器)模块已成为家电、显示仪器仪表和其他电子产品的重要组成部分。

LCD模块具有低工作电压、微功耗、信息量大、寿命长等诸多特点,在科研、生产与产品设计等领域正发挥着越来越重要的作用,其应用范围也呈现迅速扩展的态势。

由于实现LCD显示及其附加功能的单片机程序较复杂,用汇编语言编写的程序可对片机内部寄存器更好的控制,完全能够达到绝大多数应用的要求。

因此,本文着重介绍由8051单片机控制的中规模GDM12864A点阵式LCD实现字符显示的方法。

本设计主要针对实验室SOPC实验箱GW48-PK2。

单片机液晶LCD显示模块不被利用而提出的单片机驱动LCD使用方案。

方案的成功完成能帮助以后的做实验。

结果更加可视化。

本方案的特定是将FPGA实验生成的数据能在LCD上有效的显示出来,让我们在做实验时对结果有更加直观的认识。

设计中我们在FPGA模块中定义了一个嵌入式RAM。

MCS-51作为CPU对嵌入式存储器进行取数。

并控制LCD显示从FPGA接受的数据,设计中采用P1口的并行通信方式,能对数据的高速传输。

1.2系统方案

方案设计目的是在我们做EDA试验的时候,能让LCD显示我们的结果数据。

方案中在FPGA通信模块中嵌入了一个双端RAM,首先我们将我们要显示的数据通过写时钟和写地址存入模块中,然后单片机自己产生一组时钟信号来读取模块中所要显示的内容。

就像一个计算机现将一系列指令放到一个存储空间中,单片机好比CPU依次去取这些数据,然后做一系列处理,再发送给LCD让它显示我们想要的数据。

当单片机处理完一个显示后再去取下一个“指令”(数据)。

在这里一系列的处理中,将实验产生的数据放入存储模块中与单片机去取数据指令是相互独立的。

只要在每组数据的结尾设置一个值(此值为存储结束标志,试验中是将一系列的二进制数存入RAM中,在正常数据传输时数据的第6位是’0’,当结束一组显示时我们只需将0改成1即可,),告诉双方一轮存储或显示结束,然后再重新开始(即重新从存储器的第一个地址存数据取数据)。

这样就能实现LCD对试验结果的动态显示。

图3-1系统原理框图

硬件选择:

FPGA,CycloneEP1C6,单片机,AT89C51。

LCD,GDM12864A。

1.3系统方案流程图

图3-2系统程序流程图

1.4系统方案论证

在本方案中我们采用了并行数据传输的方式,能实现数据的高速传输,对系统的设计类似计算机的组成的原理,MCU_51单片机好比计算机的CPU,LCD如显示器,我们在FPGA内部设计了一个嵌入式存储器,里面存放单片机要读取的数据代码,相当于CPU读取的指令。

方案中LCD需要显示数字,字母,汉字。

则我们需要在单片机内部开辟部分字库区。

运用本系统只需要通过试验程序向嵌入式存储器内部写入一定的代码,就能实现LCD对相应数据的显示。

经论证方案可行。

1.5系统需求

1、通过单片机的控制,LCD能显示部分常用汉字,常用字符,26个英文字母及0-9的数字。

2、单片机上电后LCD会显示“河南科技大学SOPC”。

3、LCD能动态显示FPGA发送的数据。

4、可以对数据的显示在LCD上作简单的排版。

5、实现FPGA与单片机的串行数据通信。

6、单片机与LCD采用并行数据传输。

1.6开发软硬件平台

针对本课题的研究,我们需要在多个平台进行项目的开发。

课题基于实验箱的开发,则我们的硬件平台选择GW48-PK2实验箱。

设计中我们将用到两个软件开发环境KeiluVision3、QuartusII7.2,其中KeiluVision3用于点片机内部汇编语言的编写及其调试,QuartusII7.2用于FPGA模块中存储模块的编写、仿真、综合、下载等。

这两个软件的使用将在第四章作详细介绍。

第2章系统硬件设计

2.1单片机

2.1.1单片机概述

单片机是指一个集成在一块芯片上的完整计算机系统。

尽管他的大部分功能集成在一块小芯片上,但是它具有一个完整计算机所需要的大部分部件:

CPU、内存、内部和外部总线系统,目前大部分还会具有外存。

同时集成诸如通讯接口、定时器,实时时钟等外围设备。

而现在最强大的单片机系统甚至可以将声音、图像、网络、复杂的输入输出系统集成在一块芯片上。

单片机也被称为微控制器(MicroController),是因为它最早被用在工业控制领域。

最早的设计理念是通过将大量外围设备和CPU集成在一个芯片中,使计算机系统更小,更容易集成进复杂的而对体积要求严格的控制设备当中。

早期的单片机都是8位或4位的。

其中最成功的是INTEL的8031,因为简单可靠而性能不错获得了很大的好评。

此后在8031上发展出了MCS51系列单片机系统。

基于这一系统的单片机系统直到现在还在广泛使用。

随着工业控制领域要求的提高,开始出现了16位单片机,但因为性价比不理想并未得到很广泛的应用。

90年代后随着消费电子产品大发展,单片机技术得到了巨大的提高。

2.1.2单片机系列芯片介绍

(1)PIC单片机

  是MICROCHIP公司的产品,其突出的特点是体积小,功耗低,精简指令集,抗干扰性好,可靠性高,有较强的模拟接口,代码保密性好,大部分芯片有其兼容的FLASH程序存储器的芯片.

  

(2)EMC单片机

  是台湾义隆公司的产品,有很大一部分与PIC8位单片机兼容,且相兼容产品的资源相对比PIC的多,价格便宜,有很多系列可选,但抗干扰较差.

  (3)ATMEL单片机(51单片机)

  ATMEl公司的8位单片机有AT89、AT90两个系列,AT89系列是8位Flash单片机,与8051系列单片机相兼容,静态时钟模式;

AT90系列单片机是增强RISC结构、全静态工作方式、内载在线可编程Flash的单片机,也叫AVR单片机.

  (4)PHLIPIS51PLC系列单片机(51单片机)

  PHILIPS公司的单片机是基于80C51内核的单片机,嵌入了掉电检测、模拟以及片内RC振荡器等功能,这使51LPC在高集成度、低成本、低功耗的应用设计中可以满足多方面的性能要求.

  (5)HOLTEK单片机

  台湾盛扬半导体的单片机,价格便宜,种类较多,但抗干扰较差,适用于消费类产品.

  (6)TI公司单片机(51单片机)

  德州仪器提供了TMS370和MSP430两大系列通用单片机.TMS370系列单片机是8位CMOS单片机,具有多种存储模式、多种外围接口模式,适用于复杂的实时控制场合;

MSP430系列单片机是一种超低功耗、功能集成度较高的16位低功耗单片机,特别适用于要求功耗低的场合

2.2FPGA

2.2.1FPGA概述

FPGA是英文Field-ProgrammableGateArray的缩写,即现场可编程门阵列,它是在PAL、GAL、EPLD等可编程器件的基础上进一步发展的产物。

它是作为专用集成电路(ASIC)领域中的一种半定制电路而出现的,既解决了定制电路的不足,又克服了原有可编程器件门电路数有限的缺点。

FPGA采用了逻辑单元阵列LCA(LogicCellArray)这样一个新概念,内部包括可配置逻辑模块CLB(ConfigurableLogicBlock)、输出输入模块IOB(InputOutputBlock)和内部连线(Interconnect)三个部分。

FPGA的基本特点主要有:

(1)采用FPGA设计ASIC电路,用户不需要投片生产,就能得到合用的芯片。

(2)FPGA可做其它全定制或半定制ASIC电路的中试样片。

(3)FPGA内部有丰富的触发器和I/O引脚。

(4)FPGA是ASIC电路中设计周期最短、开发费用最低、风险最小的器

之一。

(5)FPGA采用高速CHMOS工艺,功耗低,可以与CMOS、TTL电平兼容。

可以说,FPGA芯片是小批量系统提高系统集成度、可靠性的最佳选择之一。

目前FPGA的品种很多,有XILINX公司的Virtex系列、TI公司的TPC系列、ALTERA公司的Stratix系列等。

FPGA是由存放在片内RAM中的程序来设置其工作状态的,因此,工作时需要对片内的RAM进行编程。

用户可以根据不同的配置模式,采用不同的编程方式。

加电时,FPGA芯片将EPROM中数据读入片内编程RAM中,配置完成后,FPGA进入工作状态。

掉电后,FPGA恢复成白片,内部逻辑关系消失,因此,FPGA能够反复使用。

FPGA的编程无须专用的FPGA编程器,只须用通用的EPROM、PROM编程器即可。

当需要修改FPGA功能时,只需换一片EPROM即可。

这样,同一片FPGA,不同的编程数据,可以产生不同的电路功能。

因此,FPGA的使用非常灵活。

2.2.2FPGA系列芯片介绍

(1)LatticeSC系统芯片FPGA系列

LatticeSCFPGA将高速I/O、SERDES、结构化的ASIC模块和高性能的FPGA结构集成在单个器件上,LatticeSCFPGA采用富士通的90纳米CMOS工艺技术并用300毫米硅片制造,能够加速芯片至芯片、芯片至存储器、高速串行、背板及网络数据通道的连通性,提供“超级性能”。

LatticeSC器件中集成了支持3.4Gbps数据率的高信道数的SERDES模块、提供业界领先的2Gbps速度的PURESPEED并行I/O、创新的时钟管理结构、以500MHz频率工作的FPGA逻辑、密集的RAM块以及莱迪思特有的针对成本优化(MACO)的嵌入式结构化ASIC模块的掩膜式阵列。

LatticeSCPURESPEEDI/O支持许多差分和单端I/O标准,包括LVTTL、LVCMOS、SSTL、HSTL、GTL+、LVDS、LVPECL和Hypertransport。

(2)Xilinx公司芯片FPGA系列

Xilinx公司成立于1984年,Xilinx首创了现场可编程逻辑阵列(FPGA)这一创新性的技术,并于1985年首次推出商业化产品。

Xilinx的主流FPGA分为两大类,一种侧重低成本应用,容量中等,性能可以满足一般的逻辑设计要求,如Spartan系列;

还有一种侧重于高性能应用,容量大,性能满足各类高端应用,如Virtex系列,用户可以根据自己实际应用要求进行选择。

在性能可以满足的情况下,优先选择低成本器件。

  *Spartan-3/3L:

新一代FPGA产品,结构与VirtexII类似,全球第一款90nm工艺FPGA,1.2v内核,于2003年开始陆续推出。

  *Spartan-3E:

xilinx最新推出的低成本FPGA,基于Spartan-3/3L,对性能和成本进一步优化

  *Virtex-II:

2002年推出,0.15um工艺,1.5v内核,大规模高端FPGA产品

  *Virtex-IIpro:

基于VirtexII的结构,内部集成CPU和高速接口的FPGA产品

  *Virtex-4:

xilinx最新一代高端FPGA产品,包含三个子系列:

LX,SX,FX

*Virtex-5:

最新的FPGA产品,65nm

(3)Altera公司芯片FPGA系列

自二十年前发明世界上第一个可编程逻辑器件开始,Altera公司(NASDAQ:

ALTR)秉承了创新的传统,是世界上“可编程芯片系统”(SOPC)解决方案倡导者。

Altera结合带有软件工具的可编程逻辑技术、知识产权(IP)和技术服务,在世界范围内为14,000多个客户提供高质量的可编程解决方案。

我们新产品系列将可编程逻辑的内在优势——灵活性、产品及时面市——和更高级性能以及集成化结合在一起,专为满足当今大范围的系统需求而开发设计。

Altera可编程解决方案包括:

  •业内最先进的FPGA、CPLD和结构化ASIC技术

  •全面内嵌的软件开发工具

  •最佳的IP内核

  •可定制嵌入式处理器

  Altera的主流FPGA分为两大类,一种侧重低成本应用,容量中等,性能可以满足一般的逻辑设计要求,如Cyclone,CycloneII;

还有一种侧重于高性能应用,容量大,性能满足各类高端应用,如Startix,StratixII等,用户可以根据自己实际应用要求进行选择。

在性能可以满足的情况下,优先选择低成本器件。

  *Cyclone(飓风):

Altera中等规模FPGA,2003年推出,0.13um工艺,1.5v内核供电,与Stratix结构类似,是一种低成本FPGA系列,是目前主流产品,其配置芯片也改用全新的产品。

Altera最成功的器件之一,性价比不错,是一种适合中低端应用的通用FPGA,推荐使用。

*CycloneII:

Cyclone的下一代产品,2005年开始推出,90nm工艺,1.2v内核供电,属于低成本FPGA,性能和Cyclone相当,提供了硬件乘法器单元,刚刚推出的新一代低成本FPGA,目前市场零售还不容易买到,估计从2005年年底开始,将逐步取代Cyclone器件,成为Altera在中低FPGA市场中的主力产品。

*Stratix:

altera大规模高端FPGA,2002年中期推出,0.13um工艺,1.5v内核供电。

集成硬件乘加器,芯片内部结构比Altera以前的产品有很大变化。

Startix芯片在2002年的推出,改变了Altera在FPGA市场上的被动局面。

该芯片适合高端应用。

随着2005年新一代StratixII器件的推出,将被StratixII逐渐取代。

*StratixII:

Stratix的下一代产品,2004年中期推出,90um工艺,1.2v内核供电,大容量高性能FPGA。

性能超越Stratix,是未来几年中,Altera在高端FPGA市场中的主力产品。

2.3CPU的选择及应用

2.3.1MCS-51单片机的内部结构及芯片图

(1)AT89C51简述

AT89C51是美国ATMEL公司生产的低电压,高性能CMOS8位单片机,片内4Kbytes的可反复擦写的只读程序存储器和随机存储器数据存储器,器件采用ATMEL公司的高密度、非易失性存储技术生产,兼容标准的MCS-51指令系统,片内置通用8位中央处理器和Flash存储单元,功能强大AT89C51单片机可为你提供高性价比的应用场合,可灵活应用于各种控制领域。

(2)AT89C51的整体结构

AT89C51整体方框图

图2-1AT89S52整体方框图

AT89C51单片机将通用的8位CPU,存储器(包

展开阅读全文
相关资源
猜你喜欢
相关搜索
资源标签

当前位置:首页 > 小学教育 > 语文

copyright@ 2008-2023 冰点文库 网站版权所有

经营许可证编号:鄂ICP备19020893号-2